You are on page 1of 83

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.

tw
或來電(02)2705-5066
本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
獻給我的內人和孩子們

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
簡 介

矽積體電路製程的特徵尺寸縮小到深次微米(deep submicron meter),經歷幾個


階段,0.35μm、0.25μm、0.18μm、0.13μm,現階段已到達0.10μm、0.07μm。
相關的製程、設備、材料或廠務設施,都有革命性的更新和進步。微影照像是受到
影響最大的製程。DRAM的電晶體的閘極結構和材料、工程。高介電常數材料使電
容量保持夠大。金屬化製程、阻障層、內嵌、快閃、鐵電記憶體結構等。高深寬比
的乾蝕刻製程需要高密度電漿;降低阻容延遲(RC delay)使用低介電常數材料和銅
製程。新製程有雙大馬士革(dual damascene)、電鍍(electroplating)、無電極電鍍
(electroless plating)和/或金屬有機化學氣相沉積(MOCVD)。奈米元件更製作
出單電子電晶體。晶圓尺寸由8吋擴大為12吋,為的不止是提高良率、提高機器使用
率;也考慮到生產力,節省工廠面積,還要兼顧人工學(ergonomics)和減少化學藥
液以利環保。
本書配合拙著電子材料、半導體製程設備、工業電子學構成一完整系列。期望給
想從事半導體的同學和研究生,或和半導體製程相關行業的工程師、經理、教授、老
師們一項便捷的參考。

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

全研究所,1999。
電子材料,五南圖書公司,1999。2008改寫四版。
工程倫理,高立圖書公司,2000。
半導體製程設備,五南圖書公司,2000。2008改寫四版。
工業電子學,五南圖書公司,2001。
電子電機工程英漢對照詞典,五南圖書公司,2007。
物理英漢對照詞典,五南圖書公司,2008。
整譯著作(除*為高立,其餘均為五南圖書公司出版)
奈米時代,2002。
奈米材料,2002。
智慧材料,2003。
材料物理學概論,2003。
奈米陶瓷,2003。
奈米材料技術,2003。
奈米複合材料,2004。
奈米纖維,2004。
奈米碳管,2004。
奈米建材,2004。
奈米催化技術,2004。
量子力學基礎,2004。
奈米薄膜技術與應用,2005。
自然科學概論,2005。
電路與電子學,2005。
清晰的奈米世界,初探電子顯微鏡,2006。
奈米生醫材料,2006。
*近代物理(Concepts of Modern Physics, Arthur Beiser原著,McGraw Hill叢書)
家庭:
內人王全靜自中學教師退休。
長子張綱在美國;長女張絢清華大學物理研究所博士,現服務於台積電;次女張
綾於東海大學美術系畢業。

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066

摩爾定律(Moore's law)預測半導體積體電路每18∼24個月,就會提高積集度一
倍,元件的特徵尺寸日趨縮小。矽積體電路很快地進入深次微米(deep submicron)
世代,微影照像(photolithography)製程是首先受到影響的,提高解析度的要件是利
用步進照像(stepper)或步進掃描(step and scan)設備、X射線或電子束為光源,
阻劑材料影像反轉(image reversal)、抗反射層(ARC)等新開發的製程技術。閘極
(gate)結構改變,多晶矽矽化物(polycide)、自行對齊矽化物(salicide)、防止天
線效應(antenna effect)等。
動態隨機存取記憶體(DRAM)由64M、256M到1 G位元,甚或到4G、16G位
元。為保持電容值而有鈦酸鍶鋇(BST)系列的高介電常數(high K)材料。配合多
層金屬導線及降低阻容延遲(RC delay)的低介電常數(low k)材料、銅製程、金屬
擴散阻障層(diffusion barrier)、鎢栓塞(W-plug)、鋁栓塞(Al-plug)等等。
元件結構方面,DRAM有溝渠式電容(trench capacitor)、堆疊式電容(stacked
capacitor),DRAM和邏輯電路做在同一晶片的內嵌式(embeded)結構,快閃記憶
體(flash memory)、鐵電記憶體(FeRAM)。12吋晶圓是為配合元件積集度提高、
降低成本的主要手段,晶圓自動傳輸、潔淨室(clean room),廠務設施也有多處
隨之改變。當矽製程提升到70奈米的極限,奈米元件單電子電晶體(single electron
transistor,SET)更使計算機進入量子時代,功能提升千萬倍。
本書可作為大學、技術學院高年級或研究所教科書。供電子、電機、自控、材
料、化工、機械、物理、化學等相關領域的使用。也可供半導體製造相關的工程師、
教授、老師們參考。工程公司、翻譯社、專利公司、投資顧問公司同仁們也可以此書
為參考。
編著者於1999年推出電子材料,2000年推出半導體製程設備、2001年推出工業電

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

子學,均獲得各界好評。本人又精心研讀國內著名學術期刊,如電子月刊、毫微米通
訊、真空科技、科儀新知等,整理編著此本VLSI概論。衷心感謝五南圖書公司楊榮川
董事長、穆文娟副總編輯、蔡曉雯編輯等的支持。逢甲電腦打字行的鄧鈴鈴小姐、何
麗玫小姐協助打字、製圖、排版。
編著者才疏學淺,雖已竭盡全力,然而匆忙之中,難免有錯,尚祈前輩先進、好
友、同學們不吝指教。

張 勁 燕
中華民國九十七年冬改寫

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
目 錄(Contents)

簡 介
作者簡介

第 1 章 微影照像. ...................... 1
1.1 緒 論/2
1.2 ULSI微影技術的延伸與極限/6
1.3 提升光學微影製程的技術/12
1.4 深次微米微影照像/19
1.5 電子束微影技術/30
1.6 光罩和圖規/35
1.7 阻劑和抗反射覆蓋/42
1.8 參考文獻/55
1.9 習 題/58

第 2 章 低介電常數材料及其製程. ....... 59
2.1 緒 論/60
2.2 低介電常數材料用於ULSI/64
2.3 材料種類和演進/69
2.4 金屬前介電質/73
2.5 含二氧化矽的介電質/75
2.5.1 摻氟的二氧化矽(SiOF) 75

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

2.5.2 超微孔矽土 79
2.5.3 摻碳的二氧化矽 80
2.6 其他的無機低介電常數材料/80
2.6.1 含氫的矽酸鹽(HSQ) 81
2.6.2 含甲基的矽酸鹽(MSQ) 82
2.6.3 黑鑽石 83
2.6.4 混成有機矽氧烷高分子(HOSP) 84
2.6.5 氮化硼系 84
2.7 有機低介電常數材料/85
2.7.1 芳香族碳氫化合物(SiLK) 85
2.7.2 摻氟的聚對二甲苯醚(FLARE) 87
2.7.3 苯并環丁烯(BCB) 88
2.7.4 氟化的非晶相碳(a-C:F) 88
2.7.5 含氟的聚對二甲苯(parylene-F,AF-4) 91
2.7.6 聚四氟乙烯(PTFE) 92
2.7.7 聚亞醯胺(polyimide) 92
2.7.8 聚芳烯醚(PAE) 94
2.8 特性量測、蝕刻/96
2.9 參考文獻/98
2.10 習 題/100

第 3 章 高介電常數材料製程. .......... 101


3.1 緒 論/102
3.2 順電和鐵電材料/104
3.3 鈦酸鍶鋇和電容結構/107
3.4 鈦鋯酸鉛和鉭酸鉍鍶鐵電材料/113
3.5 薄膜製作/115

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
目 錄 

3.5.1 氧化鉭薄膜的製作 115
3.5.2 鉭酸鉍鍶薄膜的製作 116
3.5.3 鐵電薄膜製作 117
3.6 鐵電薄膜的可靠度和特性分析/119
3.7 蝕刻製程/121
3.8 參考文獻/122
3.9 習 題/124

第 4 章 閘極工程技術. ................ 125


4.1 緒 論/126
4.2 深次微米製程的閘極/129
4.3 金屬矽化物/131
4.3.1 多晶矽金屬矽化物 132
4.3.2 自行對齊金屬矽化物製程 133
4.3.3 矽化物在VLSI的應用 137
4.4 閘極結構和技術/139
4.4.1 雙多晶矽閘極 139
4.4.2 多晶矽鍺閘極技術 143
4.4.3 金屬閘極製程 144
4.5 閘極介電層/147
4.5.1 高介電常數(high K)材料 148
4.5.2 超薄氧化層 149
4.5.3 閘極介電層的量測 154
4.6 淺溝渠隔離/156
4.7 淺接面和升起式源極/汲極/160
4.8 基板工程/164

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

4.9 電漿製程損傷/166
4.10 未來展望/168
4.11 參考文獻/170
4.12 習 題/171

第 5 章 金屬連線技術. ................ 173


5.1 緒 論/174
5.2 鋁和阻障金屬/175
5.3 物理氣相沉積/181
5.3.1 蒸鍍 181
5.3.2 濺鍍 181
5.4 先進的物理氣相沉積/183
5.4.1 過濾式的高垂直方向性濺鍍 183
5.4.2 離子化的濺鍍技術 183
5.4.3 提高薄膜遷移率的濺鍍技術 184
5.4.4 活性離子濺鍍 186
5.5 化學氣相沉積/187
5.5.1 低壓化學氣相沉積 188
5.5.2 電子迴旋共振化學氣相沉積 193
5.5.3 金屬有機化學氣相沉積 195
5.6 參考文獻/199
5.7 習 題/200

第 6 章 銅製程. ...................... 201


6.1 緒 論/202
6.2 銅製程的優缺點/204

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
目 錄 

6.3 銅製程應用於ULSI/208
6.4 擴散阻障層及其製作/216
6.4.1 離子化金屬電漿 219
6.4.2 磁控濺鍍 222
6.4.3 金屬有機化學氣相沉積 223
6.5 銅晶種層及其製作/224
6.5.1 離子化金屬電漿 224
6.5.2 金屬有機化學氣相沉積 225
6.5.3 無電極電鍍 226
6.6 電鍍銅/231
6.7 其他沉積銅的方法/237
6.7.1 金屬有機化學氣相沉積 237
6.7.2 選擇性沉積 239
6.7.3 指向性濺鍍 242
6.7.4 乾式填洞法 245
6.7.5 電子迴旋共振電漿/濺鍍混合法 246
6.7.6 雷射退火回流法 246
6.7.7 銅合金化製程 247
6.8 銅的蝕刻/249
6.8.1 濕式蝕刻 249
6.8.2 乾式蝕刻 249
6.8.3 化學機械研磨 250
6.9 製程難題和化學機械研磨/251
6.10 環保對策/255
6.11 參考文獻/262
6.12 習 題/264

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

第 7 章 高密度電漿乾蝕刻������������� 267
7.1 緒 論/268
7.2 高密度電漿源/269
7.3 電子迴旋共振(ECR)蝕刻/276
7.3.1 物理機制 278
7.3.2 微波系統 281
7.3.3 蝕刻機系統 287
7.4 感應耦合式電漿(ICP)蝕刻/296
7.4.1 蝕刻機系統 298
7.4.2 靜電吸盤 302
7.4.3 電腦模擬和控制 303
7.5 電漿特性檢測/306
7.5.1 蘭牟爾探針 306
7.5.2 毫米波干涉儀 309
7.5.3 離子能量分析儀 309
7.5.4 光譜量測與分析 309
7.5.5 溫度量測 319
7.6 製程監督和終點偵測/310
7.6.1 雷射干涉儀和雷射反射 311
7.6.2 光發射光譜術 311
7.6.3 質譜儀 313
7.7 晶圓電漿洗淨/314
7.8 參考文獻/317
7.9 習 題/319

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
目 錄 

第 8 章 半導體記憶體元件. ............ 321


8.1 緒 論/322
8.2 製程技術發展的趨勢/324
8.3 DRAM的電容器/328
8.3.1 溝槽電容 333
8.3.2 堆疊電容 336
8.3.3 製程整合 339
8.4 內嵌式DRAM/340
8.5 快閃記憶體/349
8.5.1 記憶胞結構 350
8.5.2 記憶體陣列 361
8.6 鐵電記憶體/369
8.7 參考文獻/376
8.8 習 題/378

第 9 章 十二吋晶圓. .................. 379


9.1 緒 論/380
9.2 晶圓的品質規格/382
9.3 晶圓切片拋光和清洗/383
9.4 晶圓洗淨/384
9.5 晶圓回收/390
9.6 自動化/391
9.7 離子植入/393
9.8 參考文獻/397
9.9 習 題/398

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

第 10 章 半導體奈米元件. ............. 399


10.1 緒 論/400
10.2 奈米科技在半導體/402
10.3 奈米材料/405
10.4 奈米電子元件的製作和應用/408
10.5 單電子電晶體/411
10.6 掃描探針量測/419
10.7 參考文獻/425
10.8 習 題/426

第 11 章 廠務設施. ................... 427


11.1 緒 論/428
11.2 潔淨室/430
11.3 化學污染及化學空氣過濾器/435
11.4 迷你環境和局部潔淨化/438
11.5 傳輸設備系統/443
11.6 氣 體/445
11.7 質流控制器/447
11.8 超純水/448
11.8.1 高效率逆滲透系統(HERO) 449
11.8.2 電極游離化 450
11.9 地震災害及對策/453
11.10 參考文獻/459
11.11 習 題/460

索 引������������������������������ 463

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
1
第   章
微影照像

1.1. 緒 論
1.2. ULSI微影技術的延伸與極限
1.3. 提升光學微影製程的技術
1.4. 深次微米微影照像
1.5. 電子束微影技術
1.6. 光罩或圖規
1.7. 阻劑和抗反射覆蓋
1.8. 參考文獻
1.9. 習 題

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

1.1 緒 論

在矽晶圓上製作超大型積體電路(VLSI, very large scale integrated circuits)每


個晶片(chip)含105到106個電晶體的微影照像有幾種方法,如圖1.1所示。最常用
的方法是用電子束曝光系統製作母光罩(master photomask),再用光學照像設備複
製影像,如圖1.2所示。曝光輻射穿透光罩(photomask)中透明的部分,電路圖案
的不透明部分阻擋部分輻射。阻劑(resist)對輻射敏感,而且對蝕刻有阻抗,塗敷
於晶圓(wafer)表面。光罩在要求的公差之內,在晶圓上對準,然後輻射照在晶圓
之上,阻劑影像顯影(development),阻劑下層被蝕刻掉。

ULSI設計

圖案產生器

直寫幕罩 光罩或圖規

光 離子 電子 電子 離子 X射線 光

ULSI(ultra large scale


晶 圓 integrated circuits)每個
晶片含106個電晶體。
圖1.1 幾種ULSI的微影照像製程
(資料來源:Chang and Sze, ULSI Technology)
註:本書並不強調VLSI或ULSI的區別。

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
第1章 微影照像 

曝光輻射(紫外光)
石英光罩
鉻(Cr)

負光阻

元件基座多層

顯影

圖1.2 光複製製程
(資料來源:Chang and Sze, ULSI Technology)

曝光時,依光罩和晶圓的放置、遠近和照射方式,又分為接觸(contact)、近
接(proximity)和投影(projection)、掃描(scan)等數種。光罩上的圖案以1:1
成像的稱為mask,縮小後成像的稱為reticle。
微影照像製作圖案的主要步驟為:
1. 烘烤矽基座以除去表面揮發性污染物如水,稱為去水烘烤(dehydration
bake),然後塗底(priming)用六甲基二矽氮烷(hexa methyl
disilazane,HMDS,(CH 3 ) 6 Si 2 NH或Me 6 Si 2 NH),含CH 3 端與阻劑中
的 C 、 H 、 O 原 子 產 生 凡 得 瓦 力 ( v a n d e r Wa a l s f o r c e , 分 子 間 的 微 弱
吸引力),可以提升光阻的附著力(adhesion promoter)。矽基座
上可能已成長二氧化矽、多晶矽或金屬,如圖1.3所示。Me為甲基
( m e t h y l ) 即 C H 3。 D H F 為 稀 釋 的 氫 氟 酸 ( d i l u t e d H F ) 。 B O E 為 緩

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

(a)

六甲基二矽氮烷(HMDS)

(b)

矽晶圓 (DHF,BOE,超純水)
矽醇基
(silanol)

圖1.3 底材HMDS作用,(a)去水,(b)提升晶圓與阻劑間附著力
(資料來源:龍文安,積體電路微影製程)

衝 氧 化 物 蝕 刻 ( b u ff e r o x i d e e t c h ) , 成 份 為 氟 化 氫 + 氟 化 銨 ( H F +
NH4F)。反應後生成矽醇基(silanol, SiOH)。
2. 上光阻,旋轉使其均勻,並控制光阻厚度,烘烤除去光阻中的殘餘溶劑,此
步驟稱為軟烘烤(soft bake)。
3. 曝光(exposure)用光罩對準儀(mask aligner)或步進照像機(stepper)。
前者一次曝光一整片晶圓(wafer),後者以步進重覆方式,一次曝光數個晶
片(chip)。更進步的用步進掃描(step and scan)。
4. 曝光後烘烤(post exposure bake, PEB),亦稱硬烘烤(hard bake),以消除
阻劑膜的駐波(standing wave)。在深紫外光(deep ultra-violet)製程,硬烤

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
第1章 微影照像 

是必須的製程,否則阻劑化學無法工作。
5. 顯影(development),正光阻以氫氧化鉀(KOH)、氫氧化鈉(NaOH)或
四甲基氫氧化銨(tetra methyl ammonium hydroxide,TMAH,(CH3)4NOH)
顯影,曝光的部分被除去。負光阻以二甲苯(xylene,C6H4(CH3)2)顯影,
未曝光的部分被除去。
6. 光阻結構可供離子植入(ion implantation)的幕罩用以植入摻質。或以濕蝕
刻或乾蝕刻除去沒有光阻保護的底層二氧化矽(SiO2)、多晶矽或金屬,以
形成絕緣層、閘極或接線等結構。
以上製程的簡單流程,如圖1.4所示。
(a) HMDS
SiO2
矽基座

(b)
光阻(正型)
HMDS
SiO2
矽基座

(c) UV
光罩
光阻(正型)
HMDS
SiO2
矽基座

顯影液或蝕刻劑
(d)
曝光顯影後
的光阻
SiO2
矽基座

(e) 離子

SiO2
(蝕刻、去除光阻之後)
矽基座

圖1.4 微影顯像複製圖案流程
本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

(a)烘烤、塗底(priming)。
(b)旋塗光阻(此例為正型)、軟烤。
(c)曝光、硬烤。
(d)顯影。蝕刻。
(e)去除阻劑,離子植入(也可以在(d)以光阻做幕罩進行離子植入)。

1.2 ULSI微影技術的延伸與極限

微影技術製程,其資訊傳遞的流程,如圖1.5所示。由設計開始,到晶圓上完成
元件圖案結束。每一步驟都受製程穩定性及外在和內在的影響,情形如下:

寫光罩
設計 (電子束或雷射)

光 罩

微影工具解析度
和像差
空間影像
(aerial image)

晶圓反射薄膜效應

真實的影像

光化學
曝光後烘烤擴散
潛在的影像
(latent image)

顯 影

光阻影像

圖案移轉
元件上圖案 反應離子蝕刻
離子植入等

圖1.5 微影技術資訊流程
(資料來源:廖明吉,電子月刊)

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
第1章 微影照像 

1. 光罩製作,受限於各種缺陷,如圖案角落圓化、圖案位移錯誤等。
2. 曝光工具繞射(diffraction)、鏡片色差(achromatic aberration)和其它光學
缺失。
3. 晶圓薄膜會引起反射(reflection)、駐波(standing wave)、干擾等,使圖
形影像變形。
4. 光阻:光酸化學分子擴散使潛在影像(latent image)的靈敏度降低。
5. 顯影:表面張力效應、濕式顯影限制了最後光阻應用的深寬比(aspect ratio)

6. 蝕刻:濕式蝕刻會造成底切(undercut),乾式蝕刻也有深寬比限制。
ULSI元件量產用的微影製程技術,必備條件為:
1. 能曝出的解析度(resolution):
在線寬控制規格範圍內,曝出所想要的圖案的能力。製程窗(process
window)即製程參數允許的變化值要夠大,以包含製程中發生的曝光和焦距
(focus)變動。由前一層所造成在晶圓表面的變化,也能適當曝光下一層圖
案的真實性。
2. 疊對(overlay)能力:
最小可印出的線寬稱為光點(pixel,或稱像素),在0.3光點範圍內將本
層疊對到前一界定層的能力。並補償由製造產生的大小改變。
3. 量產能力(throughput),高度準確圖案,合理的設備成本、設備可靠度、
合理的罩幕(mask或reticle)和阻劑成本。
目前半導體製程製作動態隨機存取記憶體(DRAM),64M位元或256M位元,
需要極小特徵尺寸0.35μm甚或0.25μm,必須使用250奈米(nano meter, nm)或
更短波長的深紫外光(deep UV, DUV)。主要的技術挑戰為低強度光源和高吸收
光阻、高吸收透鏡材料。光阻需要新的樹脂(resin)、新的感光劑(sensitizer),
即化學放大光阻(chemically amplified resist, CAR)。CAR有感光劑,在感光後
轉為酸,作催化劑(catalyst),在曝光和後烘烤,使共聚高分子樹脂溶於顯影劑
(developer),使光阻靈敏度提高。
透鏡材料的石英(quartz)必須用體積大、無缺陷,以避免散射損失(scattering

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
 VLSI 概論

loss)或輻照傷害(irradiation damage),有高數值孔徑(numerical aperture)、無


色差(chromatic aberration)、光源必須為單色的(monochromatic),頻譜寬只有1
皮米(picometer),因此只有一個選擇-雷射(laser)。
微影技術的解析度(resolution),基本的限制為:

               R=k1λ/NA (1.1)

上式R表示最小線寬(解析度),k1為常數,和製程條件、光阻有關,典型值
大約為0.5。λ為曝光波長,NA為投影鏡片的數值孔徑(numerical aperture),

        聚焦景深(DOF)=±k2×λ/(NA)2 (1.2)

k2是另一個常數,可能相依k1。為提高解析度,可以用短波長的光源,但曝光
機器昂貴或無量產型機器。提高數值孔徑則會降低景深,使製程不穩定。提高鏡頭
的數值孔徑的同時更要減少像差。幾個對光學微影技術的重要波長、解析度和聚焦
景深,如表1.1所列。假設NA=0.7,k1=0.5,△λ/λ代表從一個波長跳到特定波長
的趨動力。
焦距深度或稱景深(depth of focus, DOF),定義為焦距的範圍,使一已知
特徵的光阻輪廓保持在規格之內,如線寬、側牆角、光阻損失等,在一特定的
曝光範圍。焦距深度是高解析度照像最重要的參數之一,因為圖案薄膜的地形
(topography)和場區不平坦,已經和焦距窗(focus window)差不多了,平坦化
(planarization)製程是必須的,而且可提高產率。解析度(resolution)的定義即為
以一特定的焦距深度,可以印製的最小特徵尺寸。
深紫外光唯一可用的透鏡材料是石英(quartz),需要大體積,做大透鏡,
沒有缺陷以避免在操作時有散射損失或輻射傷害。要有大的數值孔徑使透鏡製
作困難。以單一透鏡材料,在完全折射的透鏡設計,無法做色像修正(chromatic
correction),唯一可行的辦法是「全場區照像」,即一個晶片以一次曝光完成。為

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
第1章 微影照像 

解決此難題,光源必須是單色光(monochromatic light),其造成的色像誤差是可容
許的,對應於這種透鏡的設計,光學頻譜寬大約一皮米(picometer, 10-12m),光源
的唯一選擇是雷射。

表1.1 各種微影技術之比較
波長 △λ/λ 最小線寬 聚焦景深
備  註
λnm % Rmin,nm (DOF),nm
G-line 436 311 850
I-line 365 19 260 730
KrF 248 47 175 500 鏡片材料,以熔融石英(fused quartz)為主,
雷射以2000赫的重覆率,產生10mJ的脈
衝,掃描曝光。
ArF 193 28 140 400 鏡片材料以熔融石英為主,學習以改善氟
化鈣(CaF 2 )消色差材質和製造技術、折
射投影光學。
F2雷射 157 23 112 320 鏡片材料:氟化鈣、高穿透力,但大塊
CaF 2 尚未可得,有機材料有大的吸收。開
發雙層光阻或光阻矽化(silyation)製程。
Ar雷射 126 25 90 257 Ar光源對步進機太弱、穩定度不好,鏡片
設備必須是反射性、高難度的曲率表面,
NA可能限制在0.55。
(資料來源:廖明吉,電子月刊)DOF: depth of focus NA: numerical aperture

透鏡材料要有以下條件:
1. 折射率(refractive index)均勻。
2. 低應力、雙折射(birefringence, double refraction),即物質對光的折射率隨
偏振(polarization)而改變。
3. 能長時間承受輻照、不改變特性、不收縮。
4. 直徑大。
5. 機械化學特性適於加工製作。
6. 尺寸和表面公差(tolerance)嚴謹。
熔合矽石(fused silica)和氟化鈣(CaF2)適於193 nm,氟化鈣是唯一適用於
157 nm的透鏡材料。在193奈米,融合矽石的吸收係數(absorption coefficient)為

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
10 VLSI 概論

0.001∼0.004 cm-1,氟化鈣的吸收係數為0.002∼0.0005 cm-1。在157奈米,氟化鈣的


吸收係數大約為0.004 cm-1。透鏡材料只有氟化鈣,色像差(chromatic aberration)
就無法修正了;因此雷射源要用窄線寬(narrow linewidth),或光學系統要全反折
射(catadioptric)或全反射(all reflective)式的。
準分子雷射(excimer laser)有氟化氪(KrF)和氟化氬(ArF)兩種,是驅動
微影製程進入深次微米(deep submicron),使解析度小於0.5微米的曝光光源。一
準分子雷射的曝光系統,如圖1.6所示。
增加解析度的另一個方法是增加數值孔徑(numerical aperture),但聚焦景
深(depth of focus,DOF)因而減少。改進方法有鏡片聚焦平面的偏移,以化學
機械研磨(chemical mechanical polishing, CMP)提升晶圓的平坦度,自動對焦
或自動齊平,以低色像差的鏡片使曝光影像區域加大。數值孔徑的實際限制大
約在0.7∼0.8。當NA=0.71時,DOF只有二倍的波長。降低k 1也可提高解析度。
指示曝光困難度的k 1 ,其發展趨勢(NA值為0.6)如圖1.7所示。當k 1 <0.5時,
必須使用增加解析的技術,如相移式光罩(phase shift mask, PSM)、偏軸式

聚焦透鏡
劑量感測器
分光鏡
(beam splitter)
準分子雷射 光罩
(reticle)
在步進機側
投影透鏡

遮罩

在雷射側

面鏡 蒼蠅眼
透鏡
傳輸光學
劑量控制
單元 移轉單元 面鏡
束成形單元 晶圓
稜鏡單元
圖1.6 準分子雷射曝光系統
(資料來源:龍文安,積體電路微影製程)

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
第1章 微影照像 11

0.35 μm 0.25 μm 0.18 μm 0.15 μm 0.13 μm 0.10 μm

I線
0.6 0.5
365 nm

0.44 0.36
KrF 0.6
248 nm

ArF 0.46 0.40


0.56
193 nm

1997 1999 2001 2003 2006


圖1.7 k1值的發展趨勢
(資料來源:柯富祥,電子月刊)

照射(off axis illumination, OAI)和光學近接校正(optical proximity correction,


OPC)、表面成像技術(top surface imaging, TSI)、雙層光阻技術(bilayer resist,
BL)、化學放大型阻劑(chemically amplified resist, CAR),高數值孔徑透鏡等,
如圖1.8所示。
0.18微米到0.13微米線幅的曝光要用193奈米的氟化氬(ArF)準分子雷射,基於
製程成本的考量,製程多採用不同曝光機臺的混成技術(mix and match)。只有關鍵
層用昂貴的氟化氬曝光機臺,其餘則針對製程需要,用氟化氪(KrF)或 I 線來曝光。
在最後投射鏡頭及晶圓間導入液體(最有可能將水導入193nm中)的技術,
因為偶合媒介質(coupling medium)的折射率大於1,所以讓NA>1的鏡頭可供使
用。此偶合媒介質也加速改善聚焦深度。相較於乾系統有了完全相同的NA值,沉
浸系統便可將像焦深度加倍。
其他配合的技術如深紫外光光學催化型光阻,以乾蝕刻處理0.5微米以下的光罩
線寬,改進電子束曝光機用阻劑。降低鏡片的輻照傷害,提升穩定性,增強雷射功
率以提升產率。光學微影的極限到底在那裡,一直是大家關心的,1999年加州大學
柏克來分校(UC Berkeley)胡正明教授(Chenming Hu)發表了0.017微米的元件,
有相當好的電性,可見ULSI還有進步的空間。

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
12 VLSI 概論

光源 短波長

光闌(stop)
偏軸照射(OAI)
準直鏡

光學微影近接修正(OPC)
光罩
相移光罩(PSM)

光瞳(pupil)
投影機 高數值孔徑透鏡

聚焦寬容強化照射(FLEX)
晶圓 高解像度化學放大型阻劑(CAR)
表層成像(TSI)

低壓高密度電漿蝕刻
圖1.8 光學微影改善品質方法示意圖
(資料來源:龍文安,積體電路微影製程)

1.3 提升光學微影製程的技術

在微影系統的光學設計最佳化,解析度已達系統極限之後,使用特別設計處
理的光罩,可將解析度再提高一些,目前使用最廣的方法為光學近接修正(optical
proximity correction, OPC)和相移光罩(phase shift mask, PSM)。光學近接修正是
針對晶片中細微的線條和間距,在光罩上修正,以減少曝光後的失真。相移光罩是
在光罩中某些地方加上一層相移層(phase shift layer),以提高曝光的明暗對比。
光學近接效用(optical proximity effect, OPE)發生,當圖案的線寬小於曝光光
源的波長,如以248奈米氟化氪(KrF)製作180奈米的線寬時。例如微細的長方型

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
第1章 微影照像 13

圖案中,圖案的四個角落因光線的繞射(diffraction),發生了圓角的現象,如圖
1.9(a)所示。更嚴重的是,有兩個圖案靠近時,因為從左右圖案來的光,其光強度的
二次波峰重疊,光線的強度增加了,使兩個圖案結合起來了,如圖1.9(b)所示。避
免這種情形發生,需要光學近接修正的技術(optical proximity correction, OPC)。
在150 nm世代以下,邏輯電路和記憶體都有很多地方會用到光學近接修正。

設計圖案

光強度分布

光阻劑形狀

(a) (b)

圖1.9 光學近接效用,(a)單一圖案,(b)兩個圖案靠近
(資料來源:金子謙一郎,電子月刊)

在以上第一種情況,可以加入輔助圖案以修正。在第二種情形,可以把圖案的
線條變細來修正。圖案中線寬和間距不同,使修正困難。修正曝光裝置的方法是加
大照明的同源因數(coherent factor, σ),使其頻譜的相位一致性提高,例如由0.75
加大為0.85,附帶著景深也可以加大。改良的方法有以下幾種:
1. 在關鍵尺寸(critical dimension, CD)使用4x(晶圓成像的尺寸為光罩圖案尺
寸的四分之一),248 nm的氟化氪(KrF)掃描機(scanner),其他區域使
用365 nm的5x步進機(stepper)。
2. 以步進機I line 365 nm 5x 和掃描機KrF 248 nm 4x配合使用。
3. 使用二個步進機。

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
14 VLSI 概論

近接效應(proximity effect)是電子束微影照像(e-beam lithography)中決定


性的問題之一,原因是入射電子在阻劑中,及電子到達基板後反彈回阻劑層的散射
(scattering)所致,使解析度受到限制,特別是0.5微米以下圖案的描繪。電子散射
(electron scattering)使不同尺寸的孤立圖案有不同的吸收能量,即使這些圖案都是
以相同的劑量曝光。當圖案密度增加時,圖案之間的電子散射將嚴重影響鄰近圖案
的吸收能量。補償近接效應的方法有多層光阻技術、背景劑量(background dose)
等量法、圖形修正法和劑量調整法等。
相移式光罩(phase shift mask, PSM)是在光罩上部分區域加一層相移層(phase
shift layer),當光源曝光經過石英光罩,有/無相移層的光束產生二分之一波長
(π弧度)的相位移,電場振幅的合向量為零,如圖1.10所示。以相移光罩曝光過
程,如圖1.11所示。

電場振幅E1 E2

石英

相移層

振進方向

兩光束產生π(或λ/2)相位移

圖1.10 相移光罩使二光束產生二分之一波長相位移

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
第1章 微影照像 15

(a) 照射光束

光罩構造 石英
不透光鉻膜

透光相移層
(b)

光罩上空間影像
0 x
的電場振幅

光瞳

繞射級數 0
(c)
-1 +1
晶圓上空間影像
的電場振幅 0 x
(干涉前) 假設此區相位相反
大小相等
假設此區相位相反
大小不等
(d)

(干涉後) 0 x
干涉後
此區電場強度歸零

(e)
光強度皆為正值
晶圓上空間
0 x
影像的光強度
干涉後
此區光強度歸零
圖1.11 相移光罩成像原理
(資料來源:龍文安,積體電路微影製程)

(a)光罩上斜線部分為新增加的透光相移層。
(b)光罩上空間影像(aerial image)的電場振幅 E(x),有相移層部分差了π弧度
而反相。
(c)干涉前,晶圓上空間影像的電場振幅,有相移層部分以虛線表示,繞射級數
(diffraction order)0,±1均顯示出來。其中繞射級數為±1的,來自有/無

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
16 VLSI 概論

相移層的電場大小相等、相位相反。
(d)干涉後的晶圓上空間影像的電場振幅,繞射級數為±1的部分抵消,電場振
幅歸零。
(e)晶圓上的光強度 I(x),解析度明顯地加強了。

         電場強度  (1.3)

         光強度  (1.4)

上式x為距離,p為光瞳函數(pupil function),瞳孔對入射光通量的變化。
嵌附式減光型相移光罩加入環形鉻(Cr)膜,製程及流程如圖1.12所示。
(a)側視及俯視圖。
(b)以電子束定義正型阻劑圖案。
(c)正型阻劑顯影,電漿蝕刻鉻膜。
(d)電漿蝕刻,轉移圖案至嵌附層。
(e)除去正型阻劑,旋塗負型阻劑,以電子束定義遮光環圖案。
(f)負型阻劑顯影,電漿蝕刻鉻膜。
(g)清除負型阻劑。
偏軸照射(off axis illumination, OAI)是另一種加強解析度和景深的方法。基
本原理是把照明傾斜一角度,使未繞射的光和一階繞射的光對稱穿透,如圖1.13(a)
所示。一傳統的穿過透鏡(through the lens, TTL)方法,如圖1.13(b)所示,作為比
較。光束穿透偏軸口孔,以一特定的入射角φ照射光罩,fsinφ=x。x 是光軸和孔口
穿透部分的距離,f 是聚焦透鏡的焦距(focus)。光束被光罩圖案繞射,高階繞射
光無法進入投影透鏡,因為圖案的間距小,繞射角(diffraction angle)(sinθ)大
於投影透鏡的數值口孔。結果只有零階(未繞射的)和一階繞射光會在晶圓表面干
涉,且對影像形成有貢獻。此方法可使線和空間解析度達0.27微米,景深2.8微米。
理論上,當同源因數(coherent factor)大於或等於1,解析度,聚焦深度可達無窮
大。

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
第1章 微影照像 17

鉻膜 嵌附層 (b) 電子束


正型
阻劑 鉻
嵌附層

石英 石英

(c)

環形
鉻膜
嵌附層 (d)

(e) 電子束
負型
阻劑

(a)

石英
(f)
嵌附層

正光阻(曝光顯影後)
(g)
負光阻

負光阻(曝光顯影後)

圖1.12 嵌附式減光型相移光罩,(a)側視和俯視圖,(b)~(g)製程及流程
(資料來源:龍文安,積體電路微影製程)

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
18 VLSI 概論

照明系統

偏軸口孔 傳統口孔
x

聚焦透鏡
Ф
f 光罩
(reticle)
-1階
θ
投影透鏡

0階 +1階 -1階 +1階

0階

晶圓

(a) (b)

圖1.13 (a)偏軸照射式(OAI),(b)傳統照明,穿過透鏡(TTL)式
(資料來源:N. Shiraishi et al., 國際光學工程學會(International Society of Optical Engineering)研討
會論文 (Proc. SPIE), 1674.741、1992)

常用的偏軸照射,光柵開口有環形、狹縫、二孔和四孔等數種,和傳統照射的
比較,如圖1.14所示。
聚焦寬容強化照射(FLEX, focus latitude enhancement exposure)是以計算機模
擬分析影響影像特性的重要參數,如靠近數位聚焦平面距離、照明同源因數。模擬
結果用I-line步進機驗證。結果使景深增加10倍。應用此法需用高對比阻劑製程。

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
第1章 微影照像 19

σ
比傳統差
皆比傳統佳
比傳統佳
傳統

σo
σi

四孔 環形

比傳統差 比傳統差

比傳統佳 比傳統佳

二孔 狹縫
圖1.14 幾種偏軸照射和改善方向性示意圖
(資料來源:龍文安,積體電路微影製程)

1.4 深次微米微影照像

微影照像(lithography)的解析度,大致上和曝光光源的波長相依,波長愈
短解析度愈好。汞燈(mercury lamp)用於光罩對準儀和步進照像的 G 線、I 線,
屬於紫外光(ultraviolet, UV)。準分子雷射(excimer laser)的氟化氪(KrF)、
氟化氬(ArF)屬於深紫外光(deep UV,DUV)。更進步的還有深紫外光 F 2 雷
射、極遠紫外光(extremely UV, EUV)、真空紫外光(vacuum UV, VUV)、
X 射線(x-ray)等,如圖1.15所示,nm為奈米(nano meter),1奈米=10埃
(angstrom),(1 nm=10Å)。

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
20
中紫外光(MUV)
軟X射線 (300∼350 nm)
真空紫外光(VUV) 深紫外光(DUV)
(soft x-ray)
(10∼180 nm) (100∼300 nm)
(1∼25 nm)
VLSI 概論

硬X射線
極遠紫外光(EUV) 近紫外光(NUV)
(hard x-ray)
(10∼100 nm) (350∼450 nm)
(0.01∼1 nm)

可見光
(450∼800 nm)

氟化氪
(KrF)
248 nm

氟化氬
SR x-ray (ArF)
0.8 nm 193 nm
汞一弧燈
SR: 雷射激發
G線
的X射線

或來電(02)2705-5066
synchrotron 汞一弧燈 436 nm
radiation 13 nm
I線
同步加速器 365 nm
UV:ultra-
輻射 violet
氟(F2) V:vacuum
157 nm E:extreme
D:deep
M:middle
N:near

0.01 0.1 1 10 100 200 300 400 500


波長(nm)

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
圖1.15 光學相關波長範圍分類參考圖,橫軸未按刻度
索 引 463

索 引(Index)

1T1C, one transistor one capacitor 一個電晶 a-C:H, hydrogenated amorphous carbon 含


體,一個電容 371, 373 氫的非晶質碳 88, 89
︵ㄉㄧ ㄥ︶
︵ㄅ ㄧ︶

2,2-dipyridyl 聯 ˋ 啶 基 227
ˋ
achromatic 消色差 29
2 DEG, 2dimensional electron gas 二維電子 achromatic aberration 色差 7
氣 414 acid catcher 酸捕捉劑 46
2-ethylhexanoic acid 2-乙基己醣酸 116 acrylic 丙烯酸 45, 47
3M, Minnesota Minning and Manufacturing activation 活化 93, 130, 141, 339
Co. 明尼蘇打採礦及製造公司 96, active 活性 282
227 active carbon 活性碳 122
active region 主動區 156
A
additive 添加劑 46, 232, 233
abrupt impurity profile 陡峭的摻質分佈  adhesion 附著力 3, 69, 87, 95, 187, 218
161 adhesion layer 附著層 218
absorber 吸收劑 40, 41 adhesion promoter 黏著促進層;黏著提升
absorption 吸收 285 劑;附著力提升劑 3, 69, 87, 95
absorption coefficient 吸收係數 9, 154 admittance 導納 281
accelerator 促進劑 230, 231 ADP, atmospheric downstream plasma 大氣
access speed 存取速度 375 下吹電漿 384
accumuluation 累積 33 adsorption 吸附 187
accuracy 精確度 447 aerial image 空間影像 6, 15
acetal ketal 縮醛縮酮 44 aerogel 氣凝膠 71, 79
acetic acid 乙酸、醋酸 114 AES, Auger electron spectroscope 歐傑電子
acetone 丙酮,CH3COCH3 226, 249 光譜儀 121
a-C:F, fluorinated amorphous carbon 含氟 AF 1600 一種鐵氟龍註冊商品名 95
非晶質碳 72, 85, 86, 88, 89, 90, 91, 95 AF-4, parylene-F 含氟的聚對二甲苯 72,

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
464 VLSI 概論

85, 91, 92 alpha particle α粒子,氦 333


AFM, atomic force microscope 原子力顯微 alpha test 阿爾法測試 384
鏡 83, 121, 253, 316, 421, 422, 423, 424 Al-plug 鋁栓塞 184, 186, 198
AFM, atomic force microscopy 原子力顯微 Al-Si-Cu alloy 鋁矽銅合金 202
術 418, 419, 423, 424 alumina 礬土 250, 253
AFM lithography 原子力顯微術的微影照像 aluminum hydride oligomer 鋁氫化物寡聚體
術 424 198
aging (ageing) 熟化,老化,時效劣化 79, ambient area 周圍區 440
107, 113, 120 AMD, Advanced Micro Devices 超微(公
AGV, automatic guided vehicle 軌道引導 司) 323
車,自動軌道臺車 392, 430, 441, 443, AMHS, automatic material handling system 
444, 445, 461 自動化材料操縱系統 391, 392, 431,
AHU, air handling unit 空氣處理單元 433, 432
438 amine 胺類,胺基 256, 401
aids 愛滋病 401 amino acid 胺基酸 401
air bridge 空氣橋 73 amino silane 氨基矽烷 414
air conditioner 空調器 434 ammeter 電流計,安培計 419
air conditioning 空調 430 amorphize 非晶化 394
air curtain 空氣簾 440 amorphous 非晶(質) 41, 178, 216, 223,
air gap 空氣隙 71 336
air filter 空氣過濾器 431 amorphous carbon, a-C 非晶相碳 50
air washer 空氣洗滌器 438 amorphous layer 非晶質層 217
Al damascene 鋁大馬士革 176 amorphous Si, a-Si 非晶矽 142, 227
alanes 鋁氫化物寡聚體 198 analog device 類比元件 204
Al-CVD 以化學氣相沉積製鋁 176 analyzer 分析器 54
alicyclic 環狀脂肪族 45, 47 analyzer magnet 分析器磁鐵 395
aliphatic 脂肪族的 45 AND 及 365, 368
alkene 烯類 237 anemometer 風速計 439
all reflective 全反射的 10 angstrom 埃,10-10米 19
alloying 合金化 206 angular frequency 角頻率 278

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 465

anion 陰離子 104, 436, 449, 451 arc discharge 電弧放電 395


anion exchange membrane 陰離子交換膜  argon ion laser 氬離子雷射 54
450 aromatic group 芳香族 46
anisotropic 非等向的 93 aromatic hydrocarbon, SiLK 芳香族碳氫化
anisotropic etching 非等方向蝕刻 268 合物 73
anisotropy 非等向性 62, 304 aromatic ring 芳香環 88
anneal 退火 75, 77, 90, 97, 110, 127, 132, array 陣列 364
144, 161, 178, 216, 223, 236, 336, 394 ashing 灰化 64
anode 陽極 226, 232, 451 ASML 先導(公司名) 23, 24
anodized 陽極氧化 300 aspect ratio 方位比,深寬比 7, 69, 160,
antenna 天線 112, 167 167, 174, 175, 176, 219, 236, 268, 332
antenna effect 天線效應 167, 251 assembly 封裝 443
antenna ratio 天線比值 167 atm cc/sec 大氣壓•立方公分/秒 447
anti-comformal 反保角 235 atmosphere, atm 大氣壓 42
anti-electromagnetic interference 防電磁波干 atom 原子 405
擾 444 atom cluster 原子簇,原子團 306, 400,
anti-electrostatic charge 防靜電 444 419
anti-rust agent 防銹劑 437 atomic level 原子級 405, 419
Anvela 一公司名 275, 277 atomic theory 原子理論 405
APCVD, atmospheric pressure CVD 常壓化 attenuator 衰減器 282
學氣相沉積 77, 339 auto clave test 壓力鍋測試 77
APEC, all perovskite capacitor 全鈣鈦礦電 auto door 自動門  445
容 110, 112 Auto EL-Ⅲ 一機型 121
APM, NH4OH+H2O2 混合液 387, 388, 390 auto zero 自動歸零 448
applicator 反應室 284, 285, 287, 292 automation 自動化 257, 381, 383, 391, 443
Applied Materials 應用材料(公司) 225, axial 軸狀 294
236, 275, 297 axial fan 軸流風扇 432, 435
aqueous 水柱 438, 439 azimuthal angle 方位角 309
ARC, anti reflection coating 抗反射覆蓋 
49, 50, 179, 211

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
466 VLSI 概論

B BEOL, back end of line 後段製程 111, 326,


333, 428
back end process 後端製程 174
BEST, buried strap 埋下條 329, 330, 332,
background dose 背景劑量 14
334, 335
bacteria(單數為bacterium) 細菌 409
beta test 貝達測試 384
ball room 大型潔淨室,大廳 430
BHT, butylated hydroxy toluene 氧化防止
BARC, bottom anti reflection coating 底層抗
劑,2, 6-二第三丁基對甲酚,二丁基
反射覆蓋 50, 51, 52, 53, 54, 80

︵ㄎㄥ︶
羥 基甲苯 437
barometer 壓力計 240
bias power supply 偏壓電源 268
barrier 阻障(層) 84, 259
bilayer 雙層 247
barrier layer 阻障層 67, 68, 103, 148, 186,
bilayer resist, BL 雙層光阻 11
332
binding energy 束縛能 96
base-centered orthorohombic 斜方底心 132
BiNOR, bi-directional tunneling NOR 雙向穿
BASF 巴斯夫(公司名) 27, 230
隧反或型三維快閃記憶體 357, 358
batch 批式 388
biology 生物學 410
battery 電池 204
BIOS, basic input-output system 基本輸入-
bay 區域,小間無塵室 392, 430
輸出系統 349, 361, 364
bay area 小潔淨區 441
bio-technology 生物科技 401
BCB, benzo cyclo butene 苯并環丁烯 72,
bird beak 鳥喙(嘴) 156
82, 85, 86, 88
birefringence 雙折射 9
BCB-F, fluorinated benzo cyclo butene 摻氟
bit 位元 325, 418
的苯并環丁烯 72
bit line 位元線 324, 325, 326, 328, 333,
BCC, body center cubic 體心立方 145, 219,
342, 358, 362, 364, 373, 375, 376
223
black diamond 黑鑽石 72, 80, 83, 86
beam expander 束增大器 29
blanket 毯覆式 198
beam splitter 分光鏡 10
blanket CVD (chemical vapor deposition) 氈
bell jar 鐘罩 271
覆式化學氣相沉積 190
Bell Laboratory 貝爾實驗室 103
BOD, biochemical oxygen demand 生化需氧
benzyl alcohol derivative 苯甲基醇衍生物 
量 256, 257
43
BOE, buffer oxide etch 緩衝氧化物蝕刻 3,

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 467

4, 315 buffer layer 緩衝層 110, 339


Boltzmann constant 波爾茲曼常數 413 buffer zone 緩衝區 393
bonding pad 銲墊 326 bulk gas 大宗氣體 445, 446
boron 硼,硼素 452 bulky ball 巴基球 401
bow 弓形 383 buried channel 潛通道 126, 129, 139
BOXI, buried oxide isolation 埋入氧化層隔 butyne 丁炔 237
離 157 by product 副產品 133, 260
BPSG, boro-phosphosilicate glass 硼磷矽玻 byte 數元組 364
璃 67, 73, 108
C
BPT, buried plate trench 埋藏式基板深溝 
334 C-49 一種矽化鈦的晶相 132, 134, 135
BP-TEOS (tetra-ethyoxysilane) 硼磷-四乙 C-54 一種矽化鈦的晶相 131, 132, 134,
烷氧矽甲烷 342 135
breakdown electric field 崩潰電場 316 cache 貯藏器 340
breakdown voltage 崩潰電壓 166 calibration 校正 31
bridging 橋接 136 cancer 癌症 401
brightener 光澤劑 233 Cannizzaro 坎尼乍若(人名,化學家) 
brightness 亮度 31 230
brine 鹽水 450 Canon 佳能(公司名) 24
BST, BaSrTiO x 鈦酸鍶鋇 102, 103, 104, capacitance manometer 電容壓力計 152
107, 108, 109, 110, 111, 112, 114, 115, capital investment 資金投資 380
118, 119, 121, 315, 322, 329, 330, 333, CAR, chemically amplified resist 化學放大
336, 337, 343 型光阻 7, 11, 12, 36, 42, 43, 44, 46, 432,
BTO, BaTiO3 鈦酸鋇 107 436
BTS, bias temperature stress 偏壓-溫度- carbide 碳化物 178
應力 221 carbon nano tube, CNT 奈米碳管 400, 401
︵ㄊㄤ︶︵ㄙㄨㄛ︶

bubbler 氣泡瓶 195 carbonyl 羰 基 60, 94, 190


bucky ball 巴基球 401 carboxyl 羧 基 114, 401
︵ㄙㄨㄛ︶

buffer 緩衝(劑) 230 carboxylate 羧 酸有機鹽 114


buffer area 緩衝區 440 carcinogen 致癌物 227, 256, 260, 445

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
468 VLSI 概論

carrier depletion effect 載子空乏效用 130 310


carrier gas 載氣 117 charge retention capability 電荷保持能力 
carrier mobility 載子移動率 128, 165 368
cascade 串級式 315 charge trap 電荷陷住 62
cassette 卡匣 432 charging effect 電荷效應 31
catadioptric 全反折射 10, 23 CHEI, channel hot electron injection 通道熱
catalyst 觸媒,催化劑 7, 43, 406 電子注入 355, 357
catalytic metal 催化金屬 227 chelate 螯合 254

︵ ㄠ︶
catalytic surface 催化表面 229 chelating agent 螯 ˊ
合劑 227, 229, 230,
cathode 陰極 226, 232, 451 261
cation 陽離子 104, 436, 449, 451 Chemat 一科技公司 86
cation exchange 陽離子交換 258 chemical contaminant 化學污染物 428
cation exchange membrane 陽離子交換膜  chemical contamination 化學污染 429
450 chemical filter 化學過濾器 429, 432
cation tower 陽離子塔 449 chip 晶片 2, 4, 25, 178, 380
CDE, chemical downstream etching 化學下 chip alignment mark 晶片對準記號 31
游蝕刻 276 chip array 晶片陣列 25
cell 晶胞 325 chip size 晶片尺寸 322
cell plate, CP 單元電板 108, 109 chloride 氯化物 336
cell projection 胞元投影 31 chloro trimethyl silane, TMS-Cl 氯-三甲基
cell size 胞尺寸 322 矽甲烷 239
cement 水泥 257 chromatic aberration 色像差 8, 10
centrifugal force 離心力 386 chromatic correction 色像修正 8
centrifugal spin 離心力旋乾 315 chuck 吸盤 155
CFC, chloro-fluoro-carbon 氯氟碳 446 circulator 順通器 282, 287, 289
chamber 製程室 284, 285, 287 city water 市水 450
channel effect 通道效應 394 class 1 一級 30, 441, 443
channel stop 通道阻止 74 class 1000 1000級 393
channeling mask 通道式圖罩 37, 38 clean room 潔淨室,無塵室 27, 428, 429
charge coupled device, CCD 電荷耦合元件 clean technology 潔淨技術 428, 432

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 469

clean tunnel 潔淨通道 431 collector 收集層 309


cleaning 潔淨 386, 387 collimator 準直管(柱狀管) 206, 242
cleanness 潔淨度 384, 440 collimator sputtering 準直器濺鍍 183
cleanway 軌道 445 compact 緊湊 290
cluster 團簇,群集,集結 27, 179, 220, compact flash 微小型快閃記憶體 350
224, 336, 390, 400, 405 compass 羅盤 402
cluster chamber 群集反應室 190 compensating circuit 補償電路 306
cluster furnace system 群集式爐管系統  compensator 補償器 54
152 complex agent 錯合劑 227
cluster tool 群集式工具 183 complex compound 錯合化合物 230
CMOS, complementary metal oxide  complex ion 錯離子 188
semiconductor 互補金氧半 126, 127, complex salt 錯鹽 188
130, 136, 139, 140, 141, 143, 161, 164, compression ratio 壓縮比 269
168, 209, 332, 346, 354, 369, 371, 374, compressive stress 壓縮應力 41, 91, 96
391, 393, 417, 411 compressor 壓縮機 441
CMP, chemical mechanical polishing 化學機 conduction band 導電帶 139, 364
械研磨 10, 61, 64, 67, 68, 69, 70, 107, conductivity 電導率 255
146, 156, 157, 158, 159, 199, 204, 206, conformal 保角,同形,順應 91, 216,
209, 211, 212, 213, 214, 215, 216, 236, 217, 219, 235
249, 250, 251, 252, 253, 254, 259, 260, conformal coating 保角(同形)覆蓋 186,
261, 326, 333, 336, 339, 342, 348, 371, 188
380, 383, 386, 406 conformality 保角 197
coaxial cable 同軸電纜 281 constraint stress 壓縮應力 104
COD(1.5 cyclooctadiene) 環八二烯 237 contact 接觸 3, 39, 107, 181, 182, 207, 209,
coercive electric field 矯頑電場 106, 113, 276, 361
120 contact angle 接觸角 97
coercive voltage 矯頑電壓 106 contact hole 接觸洞(孔) 202, 342
coherent factor 同源因數 13, 16 contact region 接觸區 160
cold wall 冷壁式 119 contact resistance 接觸電阻 130, 131, 133,
collar oxide 項圈氧化層 334 175, 183, 189, 214

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
470 VLSI 概論

contact window 接觸窗 190 CPU, central processing unit 中央處理單元


contamination 污染 207, 315 203, 403
continuity equation 連續方程式 303 cracking threshold 破裂臨限 61

︵ㄇ ㄟ︶
contract rate 收縮率 90 cresol novolac 甲酚 ˊ 酚醛 43
contrast 對比 31 critical dimension, CD 關鍵尺寸 13, 36, 49,
control gate 控制閘 350, 355, 357, 359, 50, 133, 447
360, 362 critical voltage 臨界電壓 107, 413
control grid 控制柵 309 cross linking, cross link 交聯 87, 93, 95
control valve 控制閥 448 cross talk noise 交談噪音 60
Convac 康維克(公司名) 27 crosslinking agent 鍵結劑 43
cooling coil 冷卻管 434, 442 crosstalk 交互干擾 202
COP, crystal originated particle 晶體造成的 crown 皇冠 329, 330
粒子 326, 327 crucible 坩堝 181
copier 複製機 30 cryogenic gas purifier 冷凍氣體淨化器 29
co-polymer 共聚高分子 86 cryptography 密碼學 410
copolymerization 共聚合 94 crystal growth 長晶鑄棒 380, 382
copper alloying 銅合金化 247 crystal phase 晶相 219
copper contaminant 銅污染 253 crystalline 結晶 336
copper formate 蟻酸銅 238 Cu damascene 銅大馬士革,銅鑲嵌 68,
copper halide 銅的鹵化物 249 69, 176
copper seed 銅晶種 259 Cu-CMP 以化學機械研磨法做銅製程 
corrosion 腐蝕 255 254, 255, 256
Coulomb blocking effect 庫倫阻斷效應  Cu-CVD 以化學氣相沉積法製銅 255, 256
412 Cu-plug 銅栓塞 214
Coulomb charging effect 庫倫電荷效應  Curie temperature 居里溫度 115
411 curing 固化,熟化 80, 81, 84
Coulomb oscillation 庫倫振盪 417 current meter 電流計 419
Coulomb scattering 庫倫散射 164 custom tailor 客戶特訂 430
coupling 耦合 283 customer 客戶 205
coupling medium 偶合媒介質 11 C-V analyzer 電容-電壓分析器 96

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 471

CVD, chemical vapor deposition 化學氣相沉 data retention 資料保存 369


積 50, 60, 61, 66, 69, 70, 71, 72, 75, 76, data retention time 資料保存時間 136
77, 82, 83, 88, 91, 95, 103, 109, 110, 111, DBP, di n butyl phthalate 二正丁基,二 酸
116, 119, 126, 132, 142, 145, 146, 147, 丁酯 437
154, 157, 158, 174, 176, 183, 187, 188, DC, direct current 直流 42, 295
189, 190, 197, 199, 206, 211, 221, 223, DC blocking capacitance 直流阻止電容 
226, 231, 238, 239, 240, 241, 245, 250, 300
256, 257, 260, 327, 332, 338, 339, 343, DEACl, diethylaluminum chloride (C2H5)2AlCl
348, 380, 407, 454, 456 氯化二乙烷基鋁 198
CVD-Al 以化學氣相沉積法製鋁 193, 199 Debye shielding 狄拜屏障 269
cyclic aliphatic 環狀脂肪族 45 decaborane, B10H14 十硼烷 162
cyclic olefin 環狀烯 47 deep energy level 深能階 178
cyclohexanone 環己酮 87, 94 deep submicron 深次微米 10, 64, 102, 126,
cyclopentanone 環戊酮 87, 94 129, 400, 428, 445
cyclotoctadine 環八二烯 237 deep trench, DT 深溝 326, 327, 333
cyclotron frequency 回旋頻率 279 degas 除氣 449
cylinder 鋼瓶 446 degas tower 除氣塔 449
Cymer 西盟(公司名) 23 dehydration bake 去水烘烤 3
densify 密化 77
D
density of states 能態密度 403
D. I. water 去離子水,超純水 226, 387, depletion 空乏 136
448 depletion region 空乏區 165
damascene 大馬士革,鑲嵌,鉗入 92, deposition rate 沉積速率 228
199, 204, 206, 211, 276 deprication 折舊 381
damascene gate 鑲嵌閘極 146 DERu, di-ethyl-ruthenium, Ru(C2H5)2 二乙烷
damascene interconnect 嵌入式內連線 252 釕 119
dangling bond 懸鍵 150 design rule 設計規則 49, 322
DAQ, diazon quinone 重  49 DESIRE, dry etching of silylated image resist 
data bank 資料庫 344, 371 矽植入的影像阻劑之乾蝕刻 45
data communication 資料通訊 344 desorption 脫附 187

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
472 VLSI 概論

detector 偵測器 54, 155 diffusion barrier 擴散阻障層 66, 68, 69,

︵ㄉㄠ︶
deuterium 重氫;氘  54 136, 174, 178, 190, 203, 206, 208, 210,
developer 顯影劑 7, 417 216
development 顯影 2, 5 diffusivity 擴散率 88, 141, 178, 202, 406
DHBT, double heterostructure BJT 雙異質結 digital camera 數位相機 323, 350
構雙接面電晶體 143 digital computer 數位電腦 418
DHF, diluted HF 稀釋的氫氟酸 3, 4, 315, digital system 數位系統 448
387, 388 digital television 數位電視 323
diagnosis 診斷 304, 310 digitalize 數位化 35

︵ㄊㄨ ㄥ︶
diamond 鑽石 88, 383 diketonate 二酮 ˊ(化合物) 237, 250
diaphragm 隔膜 448 dilute 稀釋的 450
︵ㄎㄨㄅ︶
︵ㄋ ㄅ︶

diazo naphthoquinone (DNQ) 重氮 ˋ dimethyl silane 二甲基矽甲烷 80, 82


42 DINOR, divided-bit line NOR 分割位元線反
DIBL, drain induced barrier lowering 汲極引 或 365, 367
發能障下降 129 diode 二極體 166
die 晶片,晶粒 178 dip coating 浸塗佈 407
dielectric 介電質 75 diphenyliodonium 二苯基碘 43
dielectric barrier 介電阻障層 69 dipole 雙電極 62
dielectric constant 介電常數 64, 97 dipole moment 偶極矩 60
dielectric dissipation 介電損耗 107 direct material 直接材料 381
dielectric dissipation factor 介電損耗因數  directional coupler 單向耦合器 287
120 discharge region 放電區 282
dielectric loss 介電損失 112 discharge volume 放電體積 290
dielectric polarization 介電極化 61 dishing 碟形,凹陷 160, 206
differential pressure 壓差 439 dishing effect 凹陷的現象 250
diffraction 繞射 7, 13 dislocation 差排,位錯 203, 332
diffraction angle 繞射角 16 display 顯示器 407
diffraction grating 繞射光柵 29 disproportionation 不對稱反應 230, 238
diffraction order 繞射級數 15 dissipation factor 消耗因數 61
diffusion 擴散 187 dissociate 解離 296

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 473

dissociation 解離 193, 278 DRAM, dynamic random access memory 動


disturb 干擾 365 態隨機存取記憶體 7, 23, 81, 102, 103,
divergent field 發散場 282, 283, 289 104, 106, 107, 108, 110, 112, 114, 136,
DLC, diamond like carbon 類鑽石碳 72, 89 148, 157, 176, 180, 193, 199, 205, 321,
DMAB, dimethyl amine borane 二甲基胺硼 322, 323, 324, 325, 326, 327, 328, 329,
烷 226, 227 330, 332, 333, 334, 335, 336, 337, 338,
DMAH, dimethylaluminum hydride, 339, 340, 341, 342, 343, 344, 345, 346,
(CH3)2AlH 氫化二甲基鋁 198, 199 347, 348, 370, 374, 375, 380, 381, 429,
DMDCS, dimethyl dichlorosilane 二甲基二 430
氯矽 239 drive line 驅動線 375
DMEAA, dimethylethylamine (CH3)2C2H5Al  driving capability 驅動能力 162
二甲基乙基胺氫化鋁 198, 199 dry air 乾燥空氣 432
DNA, deoxyribo nuclei acid 遺傳因子,去氧 dry etching 乾式蝕刻 166, 249
核糖核酸 408 dry fill 乾式填洞法 245
DOF, depth of focus 聚距深度,景深 8, 9, dry pump 乾式(機械)泵 269, 287
10, 31, 179 dry scroll pump 乾式迴旋機械泵 222
domain pinning 晶域釘住 120 DSP, digital signal processor 數位信號處理
DOP, dioctyl phthalate 鄰苯二甲酸二辛酯 器 204
(煙霧塵) 437 dual damascene 雙大馬士革,雙重嵌入 
dopant depletion 摻雜空乏 141 64, 69, 76, 203, 204, 210, 211, 251, 327
dopant source 摻質源 74 dual implantation 雙重植入 357
dose 劑量 393 dual poly 雙多晶 139, 395
double refraction 雙折射 9 ductility 延展性 229
Dow Chemical 陶氏化學(公司) 71 dummy device 空置元件 160
Dow Corning 道康寧(公司名) 81 dummy gate 假閘極 146
down stream 下吹式 142, 271, 277, 287 dummy load 假負載 282, 287
DPM 有機原子團 119 dummy pattern 假圖案 339
DPS, decoupled plasma source 去偶合電漿 dummy structure 假結構 252
源 275, 296 Dupont 杜邦(公司名) 92
drain 汲極 355 Dupont Photomasks Taiwan 中華杜邦光罩

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
474 VLSI 概論

(公司) 35 edge exclusion 邊緣失效(浪費) 302,


DUV, deep ultraviolet 深紫外光 4, 7, 19, 381, 384
20, 21, 22, 27, 42, 47, 53 EDI, electrode ionization 電極離子化 449,
DVD, digital video disk 數位語音光碟 334, 450, 451, 452, 453
341 EDTA, ethylene diamine tetra acetate 乙二胺
dynamic pressure 動壓 435 四醋酸 227, 230
dynamic vacuum 動態真空 269 EEPROM, electrically erasable programmable
dyne/cm2 達因/平方公分 177 read only memory 電可擦拭可程式只
讀記憶體 104, 324, 349, 352, 367, 370,
E
374, 448
e-beam co-evaporation 電子束共蒸鍍 407 effective 有效的 325
e-beam direct write, EBDW 電子束直寫 31 EFM, electrostatic force microscopy 靜電力
e-beam evaporation 電子束蒸鍍 219 顯微術 416
e-beam lithography, EBL 電子束微影照像  EHS, environment health and safety 環境衛
14, 21, 22, 31, 417 生和安全 62, 258, 260
e-beam projection lithogrophy, EBPL 電子束 elastic collision 彈性碰撞 279
投影微影 21, 22, 31 elastic modulus 彈性模數 406
e-beam resist 電子束阻劑 45 electric dipole moment 電偶極矩 104
ecology 生態 384 electric hysteresis 電滯 102, 104, 369, 375
economics 經濟 384 electric hysteresis loop 電滯迴路 120, 375
ecosystem 生態系統 256 electric power company 電力公司 458
ECR, electron cyclotron resonance 電子迴旋 electric wave 電波 236
共振 52, 77, 90, 107, 136, 160, 176, 193, electro chemical polish 電化學拋光 447
194, 246, 269, 271, 275, 276, 277, 278, electro polish 電解拋光 447
279, 281, 282, 283, 284, 285, 286, 287, electrochemical deposition 電化沉積 211
288, 289, 290, 291, 292, 293, 294, 295 electrochemical etching 電化學蝕刻 422
ECR-CVD 電子迴旋共振─化學氣相沉積 electrode 電極 115, 226, 395, 450
194, 296 electrodeless lamp 無電極燈泡 47
ECR-PECVD 電子迴旋共振─電漿輔助化 electroless plating 無(電極)電鍍 211,
學氣相沉積 52 226, 246, 260

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 475

electrolyte 電解液 226 electron temperature 電子溫度 306


electrolytic deposition 電解沉積 261 electronegativity 陰電性,電負度 60, 76,
electrolytic plating 電解電鍍 260 189
electromagnetic coil 電磁線圈 284 electron-hole pair 電子電洞對 355
electromagnetic deflection scan 電磁偏折掃 electronic answering machine 電子答錄裝置
描 30 350
electromagnetic interference 電磁干擾 310, electronic game 電子遊戲 341
444 electrooptic 光電性 105
electromagnetic wave 電磁波 62, 194 electroplating 電鍍 203, 206, 211, 215, 224,
electromigration, EM 電致遷移 93, 202, 231, 246, 259, 260
255 electro-rhelogical fluid 電流流變體 406
electromigration resistance 抗電致遷移 76, electrostatic 靜電 253
137, 175, 176, 190, 204, 236 electrostatic chuck, ESC 靜電吸盤 97, 298,
electron 電子 308 300, 302
electron beam, e-beam 電子束 30, 35 electrostatic shield 靜電遮蔽 272
electron beam direct write lithography, EBDWL electrowinning 電採 261
電子束直寫(微影照像) 21, 22 electrowinning unit 電採單元 257
electron drift 電子漂移 182 elevator 電梯 441
electron gas 電子氣 403 Elipda 爾必達(公司) 323
electron gun, e-gun 電子槍 181 ellipsometer 橢圓測試儀 54, 83, 121, 154
electron hopping 電子跳躍 182 elongation 延伸度,延性 229, 406
electron microscope 電子顯微鏡 401 embed, embedded 嵌入,混載 325, 340,
electron pair acceptor 電子對受體 43 342, 369
electron pair donor 電子對施子 250 embedded DRAM 內嵌式DRAM 103, 341,
electron polarization 電子極化 62 348
electron probe x-ray microanalyzer, EPMA 電 embedded IC 內嵌式積體電路 346, 349
子束探測X射線微分析儀 223 embedded technique 混載技術 340
electron scattering 電子散射 14 E-Merick 伊默克(公司名) 27
electron shadowing effect 電子陰影效應  emission spectroscopy 放射光譜 309
167 enclosure 圍離 431

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
476 VLSI 概論

encode 編碼 354, 364 310


endocrine 內分泌 256 etching rate 蝕刻速率 304
energy barrier 能障 412 ether 醚 71
energy conservation 能量守恒 303 ethylene diamine 乙烯二胺 230
energy consumption 能源消耗 435 ethylene glycol 乙烯醇 315
energy distribution function 能量分布函數  ETOX, EPROM with tunnel oxide 具有穿透
306 氧化層的EPROM 350, 352, 353, 354
engineering test 工程測試 382 eutectic 共晶 178
environmental protection 環境保護 385, eutectic temperature 共晶溫度 137
449 evaluate circuit 求值電路 360
EPROM, electrically programmable read only evaluation 評估 27
memory 電可程式只讀記憶體 324, evaporation 蒸鍍 132, 181, 219, 413
349, 350, 352, 361, 364, 367 evaporation chamber 蒸鍍室 181
erase 擦拭 378 EWS, electronic work station 電子工作站 
erase gate 擦拭(抹除)閘 350, 355, 359, 341
360 excimer laser 準分子雷射 10, 19, 22, 27,
erase rate 抹除速率 360 29, 118, 168, 337
ergonomics 人工學 391, 392 excitation 激化 283
erosion 侵蝕 206 excited state 激發態 309
ESCA, electron spectroscope for chemical exposure 曝光 4
analysis 化學分析用電子光譜儀 121 exposure field 照射景域 25
etch back 回蝕 157, 192, 335 exposure shot 照射射域 25
etch hard mask 蝕刻硬罩 41 extension region 延伸區 160
etch selectivity 蝕刻選擇度 47 extinction coefficient 消光係數 53, 97
etch stop, etching stop 蝕刻終止(層) 66, extraction electrode 萃取電極 395
68, 69, 76, 97, 214 extraction grid 萃取柵 287
etchant 蝕刻劑 69 extremely UV, EUV 極遠紫外光 19, 20, 21,
etcher 蝕刻機 212 22, 37
etching anisotropy 蝕刻異向性 268
etching end point detection 蝕刻終點偵測 

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 477

F Fermi level 費米能階 130
ferrite 亞鐵鹽,肥粒鐵 287
fab layout 工廠佈局 393
ferro fluid 磁性流體 406
face-centered orthorhomic 斜方面心 132
ferroelectric 鐵電的 102, 104
facility 廠房 429
ferroelectric capacitor 鐵電電容 119, 373,
facsimile, FAX 傳真機 361, 364
375
Fairchild Semiconductor 快捷半導體(公
ferro-electric dielectric 鐵電介電質 375
司) 403
ferroelectric memory 鐵電記憶體 369, 374
Fantastic Voyage 聯合縮小軍(電影名) 
ferro-electric phase 鐵電相 107
400
ferromagnetic 鐵磁 406
Faraday's low of electrolysis 法拉第電解定
fF, femo Faraday 飛(10 - 15 )法拉 102,
律 234
325, 334, 349
fare collect 儲值卡 370
FFU, fan filter unit 風扇-風機濾網機組 
fatique 疲勞 107, 113, 120
434, 435, 441, 442
FATS, fluoro alkoxy silane 氟烷基氧矽甲烷
field emission e-gun 場發射電子槍 30
77
field oxide 場氧化層 357
fatty acid 脂肪酸 437
figure of merit 功能指數 291
fault zone 斷電帶 453
filter 過濾器,濾波器 112, 432
FCC, face center cubic 面心立方體 203
filtration 過濾 386
FD SOI, full depletion silicon on insulator 完
fin 鰭狀 330
全空乏型矽在絕緣體上 139
final rinse 最後清洗 387
feature size 特徵尺寸 326, 408
fine crystal 細晶 216
feedback control 回授控制 309
finesonic 超音波 388
femto 毫微微,飛(10-15) 40, 102, 325
fire door 防火門 445
femto faraday, fF 飛法拉 102, 319, 334
fire protection 防火 390
femto second laser 飛秒雷射 40
fire sensor 火災感測器 446
FEOL, front end of line 前段製程 428
firing 鍛燒 116
FeRAM, ferroelectric RAM 鐵電記憶體 
FLAC, fluorinated amorphous carbon, a-C:F
103, 104, 106, 114, 324, 369, 370, 371,
摻氟的非晶型碳 71, 72
372, 373, 374, 375, 406
FLARE, fluorinated poly arylene ether 摻氟

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
478 VLSI 概論

的聚芳烯醚 71, 72, 82, 85, 86, 87, 94, footprint 足跡,底面積 392, 398


97 force fill technique 強迫注入法 185
flash 快閃 324 formaldehyde, HCHO 甲醛 227, 232, 260
flash memory 快閃記憶體 148, 323, 349, formic acid 蟻酸,甲酸 114, 228, 230, 238
358, 370, 374, 448 FOSB, front opening shipping box 前端開口
flash point 閃點 253 運貨盒 393
FLEX, focus latitude enhancement exposure  fouling 污穢物 450
聚焦寬容度強化照射 12, 18 foundry 代工 204, 205
floating 懸浮 308 FOUP, front opening unified pod 前端開口的
floating gate 懸浮閘,浮動閘 104, 349, 合成莢 392, 393
350, 358, 359, 360, 362 four point probe 四點探針 223
floating grid 漂浮柵 309 FOX, field oxide 場氧化層 127, 157
floating potential 浮置電位 306, 307 FOX, flowable oxide 可流動的氧化物 71, 72
flow pattern 流場 439 FPI, fluorinated polyimide 摻氟的聚亞醯胺
Flowfill 一科技公司 86 60, 72, 93, 94
fluid dynamics 流體動力學 383 free radical 自由基 87, 278
fluoro optic thermometer 氟光溫度計 310 freon 弗利昂 255
fluorinated 摻氟的 93 FSG, fluorinated silicate glass 摻氟的矽玻
fluorinated hydrocarbon 摻氟的碳氫 72 璃,摻氟的二氧化矽 71, 76, 86, 97,
fluorinated SiO2, FSG 摻氟的二氧化矽 60, 205, 209, 210
72 FSI International 一公司名 386, 387
fluoro polymer 氟高分子 72, 94 FTES, fluoro tri ethoxy silane 氟三乙烷基氧
fluoroform 氟仿,三氟甲烷,CHF 3  52, 矽甲烷 77
176 FTIPS, fluoro tri iso propoxy silane 異-氟化
F-N tunneling, Fowler-Nordheim tunneling 福 三丙烷氧基矽甲烷 77
樂-諾漢穿透 167, 352, 353, 356, 365 FTIR, Fourier transform infrared 傅立葉轉換
foams polymer 發泡高分子 94 紅外光 78, 83, 90, 96
focus 焦距 7, 16 FTMS, fluoro tri methloxy silane 氟三甲烷基
focus window 焦距窗 8 氧矽甲烷 77
focused ion beam 聚焦離子束 39 FTNPS, fluoro tri normal propoxy silane 正-

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 479

氟化三丙烷氧基矽甲烷 77 gate control, GC 閘極控制 333


Fujitsu 富士通(公司) 103, 323, 371, gate coupling coefficient 閘極耦合係數 
373, 429, 457 356, 357
Fukuyama 福山(地名) 457 gate valve 閘閥 118, 287
full depletion mode, FD 完全空乏型 139 gated coupling ratio, GCR 閘極偶合比 353
full scale 滿刻度 447 Gauss 高斯(磁場單位) 90, 194, 271,
fullerene 富勒烯 400, 401 275, 277, 278
functional group 官能基 87, 94, 401 gene chip 基因晶片 410
furnace anneal 爐管進火 162 gene computer 基因電腦 410
fuse 熔絲 326 genetic element 遺傳因子 408
fused quartz 熔融石英 9 germanide 鍺金屬矽化物 143
fused silica 融合矽石 9, 29 gettering 吸除,吸氣 136
FWHM, full width at half maximum 半高寬 GHz 十億赫 90, 143, 194, 271, 278, 279,
78 287, 292, 293, 294, 295, 296, 309
glass fiber 玻璃纖維 431, 436
G
glass transition temperature 玻璃移轉溫度 
G bit, Gb, giga bit 十億位元 39, 102, 103, 61, 85, 87
108, 109, 112, 199, 205, 322, 325, 326, G-line G線 9
327, 328, 330, 332, 336, 337, 342, 369 global alignment mark 區域對準記號 31
GAL, gravity acceleration level 重力加速度 globe warming 地球溫暖化 255, 401, 446
的程度 454, 455 glyoxylic acid, CHOCOOH 乙醛酸 227
gallon 加崙 316 GMR, giant magnetic resistance 巨磁阻 410
game 遊樂器 323 Gore, Al 高爾(人名) 401
gap fill 填溝 93 GPa 十億帕 81
gas cabinet 氣體櫃 446 grain boundary 晶粒邊界 120, 141, 203,
gas cylinder 氣體鋼瓶 287, 446 216, 223
gas electron 氣態電子 414 grain size 晶粒尺寸 236
gas filter 氣體過濾器 446 graphics 繪圖晶片 341
gas phase reaction 氣相中反應 187 graphite 石墨 401
gate 閘極 126, 418 greenhouse effect 溫室效應 445

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
480 VLSI 概論

grey room 灰室(次潔淨室) 27 HCM, hollow cathode magnetron 凹狀(中


grid 柵極,柵 39 空)陰極磁電管濺鍍 183, 220
grided energy analyzer, GEA 能量分析元件 HDD, hard disk driver 硬碟驅動器 341
309 HDP, high density plasma 高密度電漿 77,
grinding 輪磨 382, 383, 384 154, 160, 176, 193, 194, 206, 246, 268,
ground state 基態 309 269, 270, 272, 275, 327, 339, 342
GTIR, globe total indication reading 整區域 HDP-CVD, high density plasma-chemical vapor
總顯示讀數 382 deposition 高密度電漿-化學氣相沉積
GUI, graphic user interface 圓形化人機界面 72, 74, 75, 76, 79, 89, 90, 157, 159, 174,
387 212, 302
HDP-nitridation 高密度電漿氮化 153
H
heat dissipation 散熱 61
HAI, hydrogen anneal after implantation 植入 heat exchanger 熱交換器 228
後以氫退火 326, 327 heavy metal impurity 重金屬雜質 431
half pitch 半間距 39, 330 helical resonator 螺旋狀共振器 271, 272,
halide 鹵化物 41, 122 275
halo 環圈 165 helicon 螺線管 269, 271, 275, 276
halocarbon compound 鹵碳化合物 446 helicon wave 螺旋波 160
halogen element 鹵素 122 He-Ne laser 氦氖雷射 54, 312
halogen element gas 鹵素氣體 122 HEPA filter, high efficiency particulate air filter
hard bake 硬烘烤 4, 49 高效率微粒子空氣過濾器 428, 431,
hard disk 硬碟 350, 410 436, 440, 442
hard disk driver 硬碟驅動器(裝置) 341, HERO, high efficiency reverse osmosis 高效
361 率逆滲透 449, 450
hard mask 硬式幕罩 67, 68, 122, 179, 210, hetero-structure epitaxy 異質(結構)磊晶
211, 214 110
hard x-ray 硬X射線 20 hertz 赫 62
hardness 硬度 96, 406 Hewlett Packard, HP 惠普(公司) 121,
hardware 硬體 392 409
haze 薄霧 432, 436 hexa fluoro propylene 六氟丙烯 92

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 481

hexa fluoroarsenate 六氟砷酸鹽 43 hot electron 熱電子 360


hexahedron 六面體 223 hot hole 熱電洞 353, 354

︵ㄒㄧ︶
hfac, hexa fluoro acetyl acetone 六氟乙醯 HPM, HCl+H2O2 混合液 387, 388
丙酮 225, 226, 237, 240, 256 HRe, high density reflected electron 高密度
HFC, hydro fluoro carbon 氫氟碳 255 反射電子 273, 275
Higashi-Hiroshima 東廣島(地名) 457 HSG, hemispherical grain 半球晶粒 103,
high blood pressure 高血壓 401 329, 330, 336, 349, 357
high K, high dielectric constant 高介電常數 HSQ, hydrogen silsesquioxane 氫倍半矽氮
102, 104, 142, 148, 149, 322 烷,含氫的矽酸鹽 60, 72, 76, 80, 81,
high temperature and reflow 高溫再回流  82, 83, 87, 97, 254
184, 206 HT, high temperature 高溫 67
hillock 小突起,小丘 67, 190 HTO, high temperature oxidation 高溫氧化 
Hiroshima 廣島(地名) 457 353
Hitachi 日立(公司) 223, 275, 277, 293, Hu, Chenming 胡正明(教授) 11
323, 365 human immune system 人類免疫系統 410
HMDS, hexa methyl disilazane 六甲基二矽 HWP, helicon wave plasma 螺旋波電漿 
氮烷,(CH 3 ) 6 Si 2 NH 3, 4, 5, 47, 190, 271
191, 192, 239, 240, 436 hydrophilic 親水的,親水性 87, 91, 437
HMDSO, hexamethyl disiloxane, (Me3Si)2O, hydrophobic 疏水的,疏水性 87, 91, 437
︵ㄎㄥ︶

Me=CH3) 六甲基二矽氧 52 hydroxyl group 羥 基,氫氧基 239


home cinema 家庭電影院 323 Hyundai 現代(公司名) 323
horizontal laminar flow, HLF 水平層流 439
I
hornet 馬蜂,黃蜂,胡蜂 402
HOSP, hybrid organsic siloxane polymer 混成 IBM, International Business Machine 國際商
有機矽氧烷高分子 71, 80, 84, 86 務機器 27, 157, 158, 203, 304, 323, 333,
host computer 主電腦 457 334, 403
hot aluminum 熱鋁 183 IBMK, isobutyl methyl ketone 異丁基甲基酮
hot carrier 熱載子 149 81
hot carrier effect 熱載子效用 128, 350 IBS, ion beam sputtering 離子束濺鍍 220
hot cathode 熱陰極 279 ICP, inductively coupled plasma 感應耦合

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
482 VLSI 概論

電漿 160, 176, 269, 271, 273, 276, 296, infrared, IR 紅外光 48


297, 298, 299, 300, 304, 305 infrared heater 紅外線加熱器 386
IEDM, International Electron Devices and ingot 鑄棒 383
Material Symposium 國際電子元件及 inner diamter saw, ID saw 內直徑鋸 383
材料研討會 340 inorganic material 無機材料 66
IEEE Trans. on Plasma Science 電機電子工 inorganic polymer 無機高分子 60
程師學會電漿科學會刊 285, 286, 289, input 輸入 282, 285, 349
291, 292 in-situ 同步,在原處 136, 189, 240, 336,
ILD, inter layer dielectric 中間介電層 68, 446
76, 242, 252, 260, 327, 333 in-situ monitoring 在原處監督 432
I-line I線 9, 18 integrated circuits, IC 積體電路 35, 45, 50,
image field 影像景域 25 64, 96, 178, 209, 231, 340, 346, 347, 349
image processing system 影像處理系統  integration 整合 62
375 integrator 積分器 155
IMD, inter metal dielectric 金屬間介電層  integrity 堅固性 83, 316
67, 68, 74, 92, 213 Intel 英特爾(公司) 323, 381, 410
immunology 免疫學 410 interbay 不同區域之間 392, 445
IMP, ionized metal plasma 游離(離子化) interconnect 內連線 60, 64, 174, 178, 202
金屬電漿 176, 183, 188, 206, 210, 211, inter-diffusion 相互擴散 51
219, 220, 221, 224, 225, 236, 243, 244, interface 界面 431
339 interface state density 介面態密度 142
impedance frequency meter 阻抗頻率量測儀 interferometer 干涉儀 33, 300
121 interfloor 樓層間 445
impedance matching network 阻抗匹配網路 interlock 連鎖裝置 257
284 intermetallics 介金屬 216
in situ doping 原位摻雜,內摻雜 126 intermolecular collision frequency 分子間碰
incadescent 白熾 310 撞頻率 187
indirect material 間接材料 381 internet 網際網路 323
inelastic collision 非彈性碰撞 279 interstellar travel 星際旅行 409
information industry 資訊工業 411 intrabay 同一區域之間 445

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 483

intrinsic 本質的 141 ion stream 離子流 277


inverse narrow width effect 逆窄通道效應  ionization 游離 194, 278, 450
127, 158 ionization rate 游離率 193, 278
inverse short channel effect 逆短通道效應  ionize 解離,游離 166, 281
127 ionized sputtering 離子化濺鍍 219
inversion layer 反轉層 168 IPA, isopropyl alcohol 異丙醇 226, 256,
ion 離子 308 257, 315, 316, 388
ion beam 離子束 36, 287 irradiation damage 輻照傷害 8
ion beam evaporation 離子束蒸鍍 413 iso 異(分子結構) 77, 117
ion beam projection lithograpby, IBPL 離子 ISO, International Standard Organization 國
束投影微影照像 21, 22 際標準組織 437, 440
ion bombardment 離子轟擊 90, 167 isopropanol 異丙醇 117
ion conductivity 離子電導率 114 isotropic etching 等向性蝕刻 249
ion current density 離子電流密度 306 Itami 伊丹(地名) 457
ion density 離子密度 296 ITRS, International Technology Roadmap of
ion energy 離子能量 296 Semiconductor 國際半導體技術藍圖 
ion energy analyzer 離子能量分析儀 309 128
ion exchange 離子交換 258, 448
J
ion exchange membrane 離子交換膜 450
ion exchange resin 離子交換樹脂 450 J. Appl. Physi., Journal of Applied physics 應
ion exchange resin regeneration 離子交換樹 用物理期刊 273, 416, 418
脂再生 449 J. Vacuum Science Technology 真空科技期
ion implantation 離子植入 5, 82, 126, 130, 刊 300, 417
218, 339, 417 JEOL (Japan Electron Optics Laboratory) 日
ion implanter 離子植入機 393 本電子光學實驗室(公司名) 223
ion milling 離子研磨 40, 276 JJAP, Japan Journal of Applied Physics 日本
ion plating 離子電鍍 407 應用物理期刊 294
ion polarization 離子極化 62 junction depth 接面深度 127, 395
ion species 離子物種 393 junction leakage 接面漏電 166
ion sputtering 離子濺鍍 157 junction parasitic capacitance 接面寄生電容

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
484 VLSI 概論

136 lapping 研磨 382, 383, 384


junction spike 接面尖峰(突) 202 LAr, liquid argon 液態氬 446
JVD, jet vapor deposition 噴射氣相沉積  laser 雷射,雷射光 8, 29, 422
143, 151, 153, 154 laser ablation 雷射切除 95
JXA-8800M-機型 223 laser anneal 雷射退火 246
laser beam 雷射束 35, 118
K
laser diode 雷射二極體 54, 422
Kansai 關西(地名) 457 laser evaporation 雷射蒸鍍 407
Kb 千位元 369, 370 laser interferometry 雷射干涉儀 310, 311
Keithley 吉時利(公司) 96 laser reflectance 雷射反射 311
Kelvin 凱氏 423 laser reflow 雷射回流 246
kerf 切口 383 latch up 閂鎖 164
key hole 鎖孔洞 186 latent image 潛在的影像 6, 7, 43
KIT Semicon 一日本公司 457 lateral abruptness 側向陡峭度 127
Kochi 高知(地名) 457 lattice 晶格 104
Kuwana 桑名(地名) 457 lattice constant 晶格常數 143, 203
Kyoto 京都(地名) 457 lattice damage 晶格傷害 394
lattice orientation 晶格取向 121
L
lattice property 晶格特性 225
Lam Research 科林研發(公司名) 275, laughing gas 笑氣,氧化亞氮,N2O 150,
297 394
Lambda Physik 蘭姆達(公司名) 27, 28, layout 佈置 207
29 LC tuner 電感電容調諧器 371
laminar flow 層流 441 LCR: inductance-capacitance-resistance 電
laminar flow air 層流空氣 428 感—電容—電阻 121
LAN, local area network 區域網路 341 LCR meter 電感、電容-電阻錶 121
Landau damping 藍道阻尼 271 LDD, lightly doped drain 淺摻雜汲極 127,
Langmuir probe 藍牟爾探針 293, 300, 306 348
LAP, large angle implanted p pocket 大角度 LDDFET, lightly doped drain field effect
植入p口袋 352, 356 transistor 淺摻雜汲極場效電晶體 

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 485

126, 345, 346 Liverpool University 利物浦大學 408


lead 引線 412 LN2, liquid nitrogen 液態氮 446
lead frame 導線架 178, 247 LO2, liquid oxygen 液態氧 446
leakage current 漏電電流 107, 129 load line 負載線 293
leakage detection 測漏 446 load lock chamber 裝載腔 298
LED, light emitting diode 發光二極體 400 loading effect 負載效用 253, 294
leveling 平滑 237 loading port 裝載埠 392
leveling agent 平滑劑 233, 236 local interconnect 局部內連線 343, 371
Lewis acid 劉易士酸 43 local planarization 局部平坦化 69, 93
Lewis base 路易士鹹(基) 237, 250 LOCOS, local oxidation of silicon 矽局部氧
LFM, lateral force microscopy 側向力顯微術 化 74, 126, 127, 129, 156, 158, 276, 334,
423 339, 342
LG Semicon 樂金(一韓國電子公司名)  logic circuit 邏輯電路 133, 204, 340, 341
323 logic device 邏輯元件 135, 325, 380, 410
life science 生命科學 401 logic IC 邏輯積體電路 346, 347
lifetime 生命期 150 logic state 邏輯狀態 104, 107
lift off 舉離 249, 417 long throw sputter, long throw sputtering, LTS
lifting machine 升降機 441 長間距濺鍍 183, 206, 243
ligand 配位體 238, 240, 241 Lorentz force 羅倫斯力 278
lighting 照明 441 LOSP, low organic siloxane polymer 低有機
lightly doped region 淺摻雜區 126 矽氧烷高分子 86
linear expansion coefficient 線膨脹係數  low k, low dielectric constant 低介電常數 
217 60, 65, 66, 97, 204, 327, 445
linearity 線性度 447 low k dielectric 低介電常數介電質 205
liner 襯墊層 61, 69, 81, 213 low temperature epitaxy 低溫磊晶技術 143
linewidth 線寬 60 LPCVD, low pressure chemical vapor
liquid phase deposition 液相沉積 75 deposition 低壓化學氣相沉積 115,
liquid source 液態源 119 143, 151, 152, 153, 154, 159, 188, 189,
lithium ion battery 鋰離子電池 406 190, 336, 353, 436
lithography 微影照像 19, 21, 454 Lucas Lab. 盧卡斯研究室 275

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
486 VLSI 概論

M 爾—波爾茲曼分布 284
Mb, mega bit 百萬位元 23, 104, 108, 157,
m torr (m: milli) 毫托爾 268, 269, 273, 275,
322, 325, 327, 328, 333, 334, 335, 336,
277, 281, 289
342, 350, 354, 369, 370
macro 巨觀 401, 405
MBE, molecular beam epitaxy 分子束磊晶 
macroscopy 巨觀學 405
290, 407
magnetic beach 磁灘 283, 284
MCC 一種洗淨用藥液 253, 254
magnetic bucket 磁桶 272
MCM, multi chip module 多晶片模組 341
magnetic lens 磁透鏡 395
MCM assembly, multi chip module assembly 
magnetic mioror 磁鏡 295, 296
多晶粒模組組裝 88
magnetic probe 磁探針 299
MCP, magnetically coupled plasma 磁場耦合
magnetron 磁電管 47, 183, 287
電漿 271
magnetron sputtering 磁控濺鍍 117, 182,
MCR, magnetically confined reactor 磁場限
222, 407
制反應器 272
Marangoni dryer 馬爾根尼乾燥機 388
mean free path 平均自由路徑 187, 268
mask 光罩、遮罩、圖罩 3, 7, 32, 35, 36,
megasonic 超音波 314, 388
381
melamine 密胺,三聚氰胺,蛋白精 43
mask aligner 光罩對準儀 4
melamine derivative 密胺衍生物 43
masked ROM 遮(幕)罩式只讀記憶體 
melting point 熔點 178, 255
349, 367
memory 記憶體,儲存器 410
mass spectrometer 質譜儀 313
memory card 記憶卡 350
mass/charge ratio 質量/電量比 313
memory cell 記憶胞 324, 328
master photomask 母光罩 2
MEMS, micro electromechanical system 微機
matching network 匹配網路 287, 300, 318
電系統 249, 400, 423, 448
matrix resin 母體樹脂 43
mercaptan 硫醇 408
Matsuhida 松下(公司) 323, 457
mercury lamp 汞燈 19
Maxwell 馬克斯威爾,馬氏(人名) 423
mercury probe 汞探針 96, 155
Maxwell electromagnetic theory 馬克斯威爾
mercury probe CV map 92A 汞探針測量系
電磁理論 303
統 155
Maxwell-Boltzmann distribution 馬克斯威
MERIE, magnetically enhanced reactive ion

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 487

etch 磁場加強的反應離子蝕刻 122 混成矽酸鹽 84
meso 介觀 405 MHz 百萬赫 295, 296, 298, 300, 302, 306,
mesoporous silica 中間(內消旋)孔矽土  314
73 Michelson interfeometer 麥可生干涉儀 78
mesoscopy 介觀學 405 Micralign 一機型名 23, 24
metal barrier 金屬阻障層 186 micro 微觀 405
metal clip 金屬夾 302 micro balance 微天平 228
metal contaminant 金屬污染物 316 micro environment 微環境 430, 431
metal gate 金屬閘極 129 micro meter 微米 400
metal hydride battery 金屬氫化物電池 406 micro porous PTFE 微孔質聚四氟乙烯 92
metal organic 金屬有機的 237 Micro Technology 美光科技(公司) 146,
metal organic thermal decomposition 金屬有 323
機熱分解 407 micro-electronic device 微電子元件 411
metal silicide 金屬矽化物 131 micro-electronics 微電子學 411
metallization 金屬化 174 microfiltration 微過濾 258, 448
metallurgical reaction 金相反應 138 microloading 微負載 176
metallurgy 冶金 247 microloading effect 微負載效用 167, 268,
metastable phase 介穩態相 223 339
methyl 甲基 3 microphotonic device 微光電子元件 407
methyl silane 甲基矽烷 80, 82, 83 microprocessor 微處理器 76, 202, 204, 370
methyl siloxane 甲基矽氧烷 81 microroughnes 微粗糙度 383
MeV 百萬電子伏特 49 microscopy 微觀學 405
MFC, mass flow controller 質流控制器  Microsoft 微軟(公司) 410
92, 152, 222, 239, 240, 287, 288, 289, 446, microwave 微波 47, 193, 194, 271, 277,
447 287
MFM, magnetic force microscopy 磁力顯微 microwave coupling coefficient 微波耦合係
術 423 數 291
MFS, metal ferroelectric semiconductor 金屬 microwave device 微波元件 112
鐵電半導體 114, 115 microwave engineer 微波工程師 281
MHSQ, methyl hybrido silsesquioxane 甲基 microwave oscillator 微波振盪器 281

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
488 VLSI 概論

microwave resonant cavity 微波共振腔 151 modulator 調變器 54


mid gap 中間能隙 130 MOL, middle of line 生產線的中點 326,
millimeter wave interferometer 毫米波干涉 327
儀 309 molecular computer 分子電腦 409, 410
MIM, metal-insulator-metal 金屬-絕緣物- molecular flow 分子流 268, 269
金屬結構 115, 344 molecular logic gate 分子邏輯閘 410
mini environment 迷你環境 438 molecular robot 分子機器人 402
minimum feature size 極小特徵尺寸 129 monitor 監督 382
minority carrier lifetime 少數載子壽命 178 monitor wafer 追蹤晶圓 390
MINT, merged isolation and node trench 合併 monochromatic 單色光的 8
隔離和節點溝渠 329, 330, 334 monochromatic light 單色光 9, 312
Mitsubishi 三菱(公司) 103, 365 monomer 單體 429
Mitsubishi Electric 三菱電機 323, 457 monosilic acid 單矽酸 82
Mitsubishi Semiconductor 三菱半導體(公 Moore, Gordon 莫爾(戈登)(人名) 
司) 151 403
mix and match 混成技術 11 Moore's law 莫爾定律 403
mixed bed 混床 449 MORI 一電漿蝕刻機種 272, 275
mixed bed tower 混床塔 449 MOS, metal oxide semiconductor 金氧半,
mJ 毫焦耳 9 金屬氧化物半導體 67, 126, 133, 139,
MMC, multi media card 多媒體卡 350 221, 339, 371, 372
mobile phone 行動電話,手機 323, 349, MOS capacitor 金氧半電容器 167
350, 361 MOSFET, metal oxide semiconductor field
MOCVD, metal organic chemical vapor effect transistor 金氧半場效電晶體 
deposition 金屬有機化學氣相沉積  126, 129, 147, 160, 168, 356, 417, 418,
103, 107, 116, 117, 153, 154, 195, 196, 419
197, 198, 199, 206, 223, 225, 237, 238, Motorola 摩托羅拉(公司) 260, 323
407 MPa 百萬帕 41, 74, 78, 81, 91, 185, 217
MOD, metal organic decomposition 金屬有 MRAM, magnetic random access memory 磁
機分解法 114, 116 (永久)隨機存取記憶體 410
modem 數據機 364 MSQ, methyl silsesquioxane 含甲基的矽酸

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 489

鹽 61, 72, 80, 81, 82, 83, 86, 97 nano cluster 奈米團簇 407


MTBF, mean time between failures 平均故障 nano computer 奈米電腦 408
間隔時間 29, 447 nano device 奈米元件 400, 409
multi blanker 多重電子開關器 34 nano dot 奈米點 415
multi column electron beam lithography, MEBL nano electronic device 奈米電子元件 409
多重柱電子束微影照像 34, 35 nano electronics 奈米電子學 411
multi e-beam lithography 多重電子束微影照 nano lithography 奈米微影技術 412
像 34, 35 nano metal wire 奈米金屬線 410
multi layer interconnect 多重金屬內連線  nano meter, nm 奈米 7, 19, 219, 400
202 nano robot 奈米機器人 409
multi level 多層化 66 nano second laser 奈秒雷射 40
multi-level interconnect 多層金屬內連線  nano sensor 奈米感測器 400
174, 200 nano technology 奈米科技 402, 409
multi-level memory cell 多階記憶胞 360 nano tube 奈米管 409
multi-level metallization 多層金屬 60, 66, nanofoam 超微孔泡沫,多孔隙化 73, 93

︵ㄒㄧ︶
428 nanofoam polyimide 多孔隙泡沫聚亞醯 胺
multi media 多媒體 370 73, 94
multiplicatin 倍(累)增 181 nanoglass 超微孔玻璃 73
multipolar cavity 多極腔 289 nano-indentation system 奈米壓痕機 96
mutagenicity 變異原性 256 nanoporous silica 超微孔矽土 79, 86
MUV, middle ultraviolet 中紫外光 20 narrow linewidth 窄線寬 10
marrow linewidth effect 微細線幅效應 131
N
narrow width effect 窄通道效應 127, 158
nA 奈安培 422 National Technology Roadmap of Semicon-
NA, not available 還沒有 385 ductor, NTRS 國家半導技術藍圖 128
Nagaokakyo 長岡京(地名) 457 native oxide 天生的氧化物 136, 151, 152,
NAND 反及 361, 363, 364, 365, 366 252, 336, 343, 390
nano cable 奈米同軸電纜 409 near field image 近場影像 40
nano ceramics 奈米陶瓷 409 near infrared 近紅外線 418
nano circuit 奈米電路 408 near infrared spectrometer 近紅外線譜儀 

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
490 VLSI 概論

389 NOR 反或 354, 361, 362, 364, 365, 367,


NEC 日本電氣,恩益禧(公司) 103, 369
108, 373, 374, 411, 429, 457 normal 正(分子結構) 77, 117
negative pressure 負壓 439 normal propyl alcohol 正丙醇 226
network 網路 448 Northwest University 西北大學 408
neutral stream 中性粒子流 276 notch 刻痕 51
neutralization 中和 47, 257, 258 notching effect 凹缺效應 50
Nikkei Microdevidevice 日經微元件 457 notebook computer 筆記型電腦 204
Nikon 尼康(公司名) 23, 24 novalac 酚醛 49
︵ㄒㄧ ㄝ︶

Nishiwaki 西 (地名) 457
ˊ NovaTube 雷射管 27
nitridation 氮化 150 novolak 酚醛樹脂 42
nitride 氮化物 61, 178, 331 NS, no standard 沒有標準 382
n-k analyzer n & k分析器,折射率-介電常 NTT, Nippon Telegraph and Telephone 日本
數分析儀 97 電報電話(公司) 293
nMOS 負型金氧半 126, 127, 139, 140, nucleation 成核 198
141, 143, 144, 150, 166, 209, 332, 395, nucleation and growth 成核與成長 187
437 nucleation layer 晶核生成層(成核層) 
︵ㄍ ㄛ︶
︵ㄅ ㄧ︶

NMP, n-methyl 2 pyrrolidone 正甲基2 ˋ 咯 ˊ 184, 224, 232


︵ㄉㄧ ㄥ︶

啶 C5H9NO 85, 93, 315, 436


ˋ
numerical aperture 數值孔徑 8, 9, 10, 11
noble metal 貴金屬 121 NVSM workshop (NSVM: non-volatile
noise immunity 雜訊免疫力 128 semiconductor memory device) 非揮發
NON, nitride-oxide-nitride 氮化矽-氧化 半導體記憶體元件研習會 355
矽-氮化矽 214 NUV, near ultraviolet 近紫外光 20
non-stoichiometry 非當量化;非化學量  n-well n型井 333, 355
115
O
non-unidirectional flow 亂流 441
non-volatile 非揮發的 104, 374 OAI, off axis illumination 偏軸式照射 11,
non-volatile memory 非揮發記憶體 349 12, 16, 18
non-volatile memory device 非揮發的記憶元 octahedron 八面體 104
件 106, 344 OED, oxidation enhanced diffusion 氧化加速

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 491

擴散 161 organic donor ligand 有機施子團基 240


ohmic I-V characteristic 歐姆的I-V特性  organic functional group 有機官能基 79
137 organic ligand 有機團基 237
OHSA, Occupational Health and Safety organic material 有機材料 66
Administration 職業衛生和安全署  organic photoresist 有機光阻 249
260 organic polymer 有機高分子 60, 67
OLED, organic light emitting diode 有機薄膜 organic silane 有機矽甲烷 83
發光二極體 400 organic silicon 有機矽 436
oligomer 寡聚合體 429 organic silsequioxane 有機碳酸鹽 72
oligomeric solution 低聚合物溶液 85 organic solvent 有機溶劑 70
ON (oxynitride) 氧化氮化層 334 organosilicate glass, OSG 有機矽酸鹽玻璃 
︵ㄨㄥ︶

onium salt  鹽 42, 43 72, 80


ONO, oxide nitride oxide 氧化物-氮化物- orientation polarization 取向極化 62
氧化物 210, 334, 350, 352, 353, 354, O-ring O型環 448
356 Osaka-Kobe 阪神(地名) 454
on-site 在廠內 446 Otsu 大津(地名) 457
OPC, optical proximity correction 光學近接 outgas 釋氣 429
修正 11, 12, 13, 39, 40 outgassing 釋氣 70, 90
OPC mask, optical proximity correction mask overhang 突懸 186
光學近接修正光罩 22 overhead 經常費 381
OPE, optical proximity effect 光學近接效應 overhead shuttle 高架列車 441, 443
12 overlay 疊對 7
open loop 開迴路 304 oxalic acid 草酸 254
operation amplifier 運算放大器 155 oxidant 氧化劑 69
optical computer 光電腦 406 oxidation 氧化 120
optical electronics 光電子學 411 oxide 氧化物 61, 325
optical emission spectroscopy, OES 光發射光 oxide cap 氧化矽蓋帽層 68
譜儀(術) 311 oxygen ashing 氧乾蝕刻,氧灰化 61
optical spectrometer 光學光譜儀 53 oxygen vacancy 氧空缺 110, 114, 115, 116
organic atom cluster 有機原子團 117 oxynitride, ON 氧氮化物,氮化氧化層 61,

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
492 VLSI 概論

150, 179, 327, 331, 353 120, 202


OZ 3000 一機型 277 passivation layer 保護層,鈍化層 218, 247
ozone, O3 臭氧 27 password 密碼 403
ozone layer 臭氧層 255, 445, 446 PC, personal computer 個人電腦 341, 350,
ozone water 臭氧水 387 361, 410, 448
PCB, printed circuit board 印刷電路板 206,
P
229, 231, 448
package 封裝,包裝 443 PDA, personal digital assistant 個人數位助理
packing density 包裝密度 205 340, 341, 350, 361, 370
pad oxide 墊氧化層 156 PEB, post exposure bake 曝光後烘烤 4, 44
PAE, poly arylene ether 聚芳烯醚 72, 85, PECVD, plasma enhanced chemical vapor
86, 94, 95 deposition 電漿加強化學氣相沉積 
paraelectric 順電性 102, 104 67, 68, 72, 76, 77, 80, 83, 84, 89, 95, 189,
para-electric phase 順鐵相 107 212, 407
paramagnetic 順磁 406 PEG, poly ethylene glycol 聚乙二醇 227
parasitic capacitance 寄生電容 128, 164, pellicle 護膜 36
214, 254 pentode 五極管 395
parasitic resistance 寄生電阻 129, 130 PEOTa, Ta(OC 2 H 5 ) 5  五乙烷氧基鉭 115,
parasitic transistor 寄生電晶體 159, 333 116
parent material 母材料 406 perfluoro carbon, PFC 過氟碳 255, 446
partial pressure 分壓力 113 perfluorocyclobutane 過氟環丁烷 73
partial pressure ratio 分壓比 118 perforated floor 有柵孔高架地板 432
particle 微粒子 383, 428 peripheral circuit 周邊電路 324
particle deposition 粒子沉積 407 permanent dipole moment 永久偶極矩 62
parylene 聚對二甲苯 72, 86, 91 permanent magnet 永久磁鐵 272, 284
parylene-F, AF4, PA-F 摻氟的聚對二甲苯  permanent polarization 永久極化 106
72, 85, 91, 95 perovskite 鈣鈦礦 102, 104, 330
parylene-N, PA-N 不含氟的聚對二甲苯  pH value 酸鹹度 228, 253, 260, 450
72, 91, 95 phase 相位 146
passivation 保護,鈍化,保護層 61, 68, phase shift layer 相移層 12, 14

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 493

phase shifter 相移器 112 pinch off 封住 236


phase velocity 相速度 271 pinning 釘扎 120
Philips 飛利浦(公司名) 27 piping 管路 446
phosphate 磷酸脂 431 piranha 食人魚 260, 315
phosphate ester 磷酸酯 437 pitch 間距 60
photo acid generator, PAG 光酸產生劑 43, pixel 光點,像素 7
44, 45 planarization 平坦化 8, 61, 64, 206
photo active compound, PAC 光敏感劑 42, plasma 電漿,等離子 90, 181, 194, 268
44, 47 plasma chamber 電漿(腔)室 194, 271,
photo catalysis 光催化 407 281, 287
photo sensitive 光敏感化 93 plasma damage 電漿損傷 167
photo sensitive polyimide 光敏感聚亞醯胺  plasma density 電漿密度 293, 306
94 plasma etcher 電漿蝕刻機 48
photo stabilization 光安定 47 plasma etching 電漿蝕刻 166
photo stabilizer 光安定器 47 plasma frequency 電漿頻率 293
photocathode 光電管,光陰極 34, 313 plasma load 電漿負載 281, 287
photodiode 光二極體 310 plasma loss line 電漿損失線 293
photolithography 微影照像 408 plasma nitridation 電漿氮化 142
photomask 光罩 2, 36 plasma potential 電漿電位 306, 307
photomultiplier tube, PMT 光倍增管 312, plasma power supply 電漿電源供應器 268
313 plasma sheath 電漿鞘(層) 269, 307
photon 光子 309, 313, 410 plasma source 電漿源 271
photoresist 光阻 35, 249 plasma torch 電漿氣炬 383, 384
Photronics 一公司 36 plate line 板極線 373, 375, 376
Physical Review Letter 物理回顧簡訊(期 plating 析鍍 229
刊) 416 plating bath 鍍浴 229
picoammeter 皮安培計 121, 312 PLT, PbLaZrTiOx 鉛鑭鈦氧化物 406
picometer 皮米 8, 9, 29 plug 栓塞 190, 208, 235
piezoelectric 壓電 105, 419, 448 PLZT, PbLaZrTiOx 鉛鑭鋯鈦氧化物 406
piezoelectric ceramics 壓電陶瓷 420 pMOS 正型金氧半 126, 127, 129, 139,

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
494 VLSI 概論

140, 141, 143, 144, 166, 209, 332, 333, poly-plug 多晶(矽)栓塞 333, 336, 344
395, 437 polyquinoline 聚奎林 73
PMT, Plasma Material Technology 電漿材料 poly-Si plug 多晶矽栓塞 109, 162, 348
科技(一公司名) 272, 275 polysilicon 多晶矽 126, 304
PNT-F, poly fluorinated naphthalene 摻氟的 polysiloxane 聚矽氧烷 73, 80
︵ㄋ ㄞ︶

聚 ˋ  95 polyvinyl phenol 聚乙烯酚 45


pocket 口袋 165 porosity 孔隙度 83
pod 晶圓盒,盒 429, 431, 443 porous 多孔的 61
point defect 點缺陷 203, 382 porous polyarylene ether 多孔聚芳烯醚 73
point of inflection 反曲點 307 porous polyimide 多孔聚亞醯胺 73
poison, poisoning 毒,中毒,毒化 80, 113, porous silica 多孔矽土 73
203, 210 porous silsesquioxane 多孔矽酸鹽 73
polarizability 極化能力 76 positive pressure 正壓 441
polarization 極化,偏振 9 p o t a s s i u m s o d i u m t a r t r a t e , K 2C 2H 4O 6-
polarizer 偏振器 54 Na2C2H4O6 酒石酸鉀鈉 229
polishing 拋光 382, 383, 384 potential barrier 電位障 403, 414
︵ㄒㄧ︶

polyamic acid 聚醯 酸 93 potential well 電位井 310


polyamic ester 聚醯烷酯 93 power dissipation 功率耗損(消耗) 60,
polycarbonate 聚碳酸鹽 422 204
polycide, polysilicon silicide 多晶矽矽化物 POWER PC (Performance Optimization With
68, 129, 131, 132, 133, 136, 138, 144, 209, Enhanced RISC) 處理器名(精簡指令
341, 342 功能最佳化個人電腦) 203
︵ㄎㄥ︶

polyhydroxy stynene, PHS 聚羥 苯乙烯  power transistor 功率電晶體 204


42, 43, 44 ppb, parts per billion 十億(109)分之幾 
︵ㄒㄧ︶

polyimide 聚亞醯 胺 41, 72, 85, 92, 303, 438, 452, 459


327 ppm, parts per million 百萬(106)分之幾 
polymer 高分子,聚合物 46, 405 62, 85, 152, 450
︵ㄋ ㄞ︶

polynaphthalene -F 摻氟的聚 ˋ  72, 95 ppma, parts per million atom 以原子計算百


polynaphthalene -N 不摻氟的聚  72, 95 萬分之幾 382
polyoxide layer 多晶矽氧化層 356 ppt, parts per tera 太(1012)分之幾 446

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 495

ppt, parts per trillion 一兆(1012)分之幾  process gas 製程氣體 445


383, 386, 435, 436 process integration 製程整合 61, 190, 339
pre-amplifier 前置放大器 420 process load chamber 製程負載室 284
Precision Semiconductor Mask 翔準先進 process tolerance 製程寬容度 40, 136, 330
(公司) 35 process window 製程窗 7, 47, 136, 141,
precursor 前驅物 76, 90, 92, 115, 119, 188, 252, 445
195, 223, 225, 237, 260, 265 processor 處理器 323
preferred orientation 優選取向 216, 217, productivity 生產力,產率 380, 391, 395,
224 396
pre-metal dielectric, PMD 金屬前介電層  programming 程式化 358, 360
67, 68, 73, 74, 77 production equipment 生產機器 380
pressure cook test, PCT 壓力鍋測試 77 projection 投影 3
pressure gauge 壓力計 92 projection scanning system 掃描投影系統 
primary mirror 主面鏡 24 24
prime 優良的 382 promoter 促進劑 231
prime wafer 最好的晶圓 390 protection site 保護基 44
priming 塗底 3, 6 protocol (通訊)協定 349
printer 印表機,列表機 341 Prototech Research Inc. 一公司名 274, 275
prism 稜鏡 29, 30 proximity 近接 3, 31, 33, 37, 40
Proc. of the 8th Symposium on Plasma proximity effect 近接效應 14
Processing. 電漿製程第8次研討會論文 PSG, phosphosilicate glass 磷矽玻璃 67,
295 74, 75, 391
Proc. SPIE (International Society of Optical PSG, polycrystalline silicate glass 多晶矽玻
Engineering) 國際光學工程學會研討會 璃 327
論文 18 PSM, phase shift mask 相移式光罩 10, 12,
probe 探針,探測 308 14, 22, 39, 40
process area 製程區 440 PST, planar stacked technology 平坦堆疊技
process chamber 製程反應腔,製程腔  術 336, 337
298, 429, 445 PT, PbTiO3 鈦酸鉛 113
process equipment 製程設備 429 PTEOS, plasma enhanced TEOS 電漿加強

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
496 VLSI 概論

TEOS 240, 242 quantum dot 量子點 403, 408, 411, 412,


PTFE, poly tetra-fluoro ethylene 聚四氟乙烯 426
71, 72, 85, 86, 88, 89, 92 quantum dot array 量子點陣列 411
pulsed laser 脈衝雷射 39, 246 quantum effect 量子效應 168, 402, 408,
pulse laser sputter deposition 脈衝雷射濺鍍 412
法 118 quantum island 量子島 414
pupil 瞳孔,光瞳 12, 32 quantum mechanics 量子力學 411
pupil function 光瞳函數 16 quantum size effect 量子尺寸效應 403
PURATEX 化學(吸附)過濾器 437 quantum tunneling 量子穿透 148
PVA, polyvinyl alcohol 聚乙烯醇 253 quantum tunneling effect 量子穿隧效應 
PVD, physical vapor deposition 物理氣相 403
沉積 119, 144, 145, 146, 174, 176, 181, quantum well 量子井 403, 408, 412
183, 196, 197, 206, 211, 219, 221, 226, quantum well confinement 量子井限制 168
231, 242, 245, 260, 343, 380, 407 quantum wire 量子線 403, 408, 411, 417
pyrex 耐熱玻璃 37 quartz 石英 7, 8
pyroelectricity 焦電性 105 quartz furnace tube 石英爐管 454
pyrolysis 熱裂解 94 quartz window 石英窗 287, 299
pyrometer 紅外光高溫計 310
R
pyrophoric 自燃的 445
PZ, PbZrO3 鋯酸鉛 113 radar 雷達 402
PZT, PbZrTiO x 鈦鋯酸鉛 102, 103, 113, radial 徑向 309
114, 115, 120, 369, 371, 373, 374 radiation damage 輻射的損傷 278
radical 原子團,自由基 278
Q
raised S/D 升起式的源極/汲極 162
Quadrol 一種鉗合劑 230 RAM, random access memory 隨機存取記憶
quadrupole mass filter 四極質量過濾器 313 體 106, 324, 370, 374
quality factor 品質因數 279 RAP, return air path 回風路徑 432
quantum absorption rate 量子吸收率 44 rare earth 稀土 284, 291
quantum computer 量子計算機 403, 410 rare earth metal 稀土金屬 406
quantum device 量子元件 408, 411 raster scan 陣列掃描 35

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 497

raw water 原水 450 refractive 折射的 23


RBS, Rutherford back scattering spectrometer refractive index 折射率 9, 53, 76, 90, 97,
拉塞福背向散射譜儀 96, 189 154, 311
RC, resistance capacitance 阻容 205, 206 refractory metal 耐火金屬 129, 211, 216,
RC time delay, RC delay 電阻-電容時間延 248
遲 60, 131, 136, 198, 202 refractory metal silicide 耐火金屬矽化物 
RCA, Radio Company of America 美國無線 129, 325, 346
電公司 315, 387, 390 refresh 充電,更新 340, 346
reaction 反應 187 refresh time 更新時間 341, 343
reaction chamber 反應腔,反應室 119, regeneration 再生 450
272, 281, 429 register 暫存器 310
reactive sputter, reactive sputtering 反應性濺 regulator 調壓器 288, 446
鍍 103, 153, 216 relative humidity, RH 相對濕度 85, 438,
read out 讀取 361 439
real time monitor 及時監控 309 relative permeability 相對導磁率 97
receipe 程式,配方 304 relaxation time 鬆弛時間 62
recirculation 再循環 439 reliability 可靠度 62, 175, 255, 344, 356
recombination center 復合中心 178, 202 remanent polarization 殘存偏極化 104,
recovery rate 回收率 449 106, 113, 120
recrystallization 再結晶,晶化 120, 142, replacement gate 取代閘極 146
245 residual gas analyzer 殘餘氣體分析儀 446
reducing agent 還原劑 223, 227, 229 residual thermal stress 殘餘熱應力 96
reduction 還原 120 residue 殘留 339
reduction ratio 縮小倍數 23 resin, Rz 樹脂 7, 258, 451
reflect 反射 282 resist 阻劑 2, 35
reflectance 反射頻譜 53, 97 resistance 電阻,電阻值 188
reflection 反射 7, 285 resistivity 比電阻,電阻率 90, 130, 137,
reflective 反射的 23 175, 448
reflectivity 反射率 50, 311 resolution 解析度 7, 8, 35
reflow 回流 245, 246 resonance 共振 277

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
498 VLSI 概論

resonance coupling 共振偶合 278 RTA, rapid thermal anneal 快速熱退火 116,


resonant cavity 共振腔 27, 29 120, 134, 135, 136, 150, 154, 196
resonantor 共振器 69, 112 RTCVD, rapid thermal CVD 快速加熱化學
reticle 光罩 3, 7, 10, 18, 381 氣相沉積 77, 78, 143, 153, 154, 336
retrograde channel profile, RCP 後退通道分 RTN, rapid thermal nitridation 快速熱氮化 
佈 165 107, 196, 437
retrograde well 後退井 339 RTP, rapid thermal processing 快速熱製程 
reuse 再利用 384 154, 354
RF, radio frequency 射頻 42, 89, 193, 197, Rudolph Technologies 魯多夫科技(公司
219, 243, 270, 273, 277, 288, 290, 296, 名) 54, 121
302 rugged poly silicon 皺褶多晶層 336
RF generator 射頻產生器 298 RVD, rapid vapor diffusion 快速蒸氣擴散 
RF power 射頻功率 83 162
RF sputtering 射頻濺鍍 41, 116, 117 RXFS, reflection x-ray fluorescence spectoscopy
RIE, reactive ion etch 反應離子蝕刻 8, 52, 反射x射線螢光光譜儀 316
97, 211, 213, 214, 249, 276, 278, 333 Ryoden 菱電(公司名) 103
right-hand circularly polarized wave 右手圓
S
偏振波 284
rigidity 剛度 94 SAC, self aligned contact 自行對齊接觸 
rinse 清洗 79, 390 129, 339, 342, 345, 346, 347
RISC: Reduced Instruction Set Computer 精 SACVD, sub-atmospheric CVD 次大氣壓化
簡指令集計算機 203 學氣相沉積 67, 68
Ritcher 芮氏 454 Saijou 西條(地名) 457
RMS, root mean square 均方根值 217 salicide, self aligned silicide 自行對齊矽化物
RO, reverse osmosis 逆滲透 448, 449, 451, 128, 129, 132, 133, 138, 144, 160, 164,
452 341, 342, 394
robot 機械手臂 27, 39, 190, 390, 430, 443 Samsung 三星(電子) 103, 336, 337
ROM, read only memory 只讀記憶體 106, Sandisk 新帝(公司) 358, 359, 360
324, 370, 374 SAP, supply air path 送風路徑 432
roughness 粗糙度 83, 154, 175, 316, 388 satellite navigation 衛星導航 350

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 499

saturation 飽和 107, 308 scribe lane 切割巷道 25


saturation polarization 飽和偏極化 106, scrubber 除毒裝置 287, 441, 446, 458
120 secondary battery 二次電池 406
SAUSG, subatmospheric undoped silicate glass secondary electron 二次電子 41, 181
次大氣壓未摻雜的矽酸鹽玻璃 327 secondary sputtering 二次濺鍍 224
SBN, SiBr12NbO9 鈮酸鉍鍶 114 secondary mirror 次級面鏡 24
SBT, SrBiTaO x 鉭酸鍶鉍 102, 113, 114, seed 晶種 159
115, 116, 120, 170, 369, 371, 372 seed layer 種晶層,晶種層 211, 216, 220,
SCl, lst standard cleaning solution 第一種標 224, 231, 239, 260
準清洗溶液 315 seeding 播核種 336
scale factor 縮小因數 324 segregation 偏析 247
SCALPEL, Scattering with Angular Limitation seismic zone 地震帶 453
Projection Electron (beam) Lithography  seisometer 地震儀 454
有限角度散射投影電子微影 21, 31, 32, select line, SL 選擇線 358
33, 34, 37 selection gate 選擇閘 355, 366
SCAM, scanning capacitance microscopy 掃 selection ratio 選擇比 212
描電容顯微術 423 selection transistor 選擇電晶體 354, 361,
scan 掃描 3 364, 366
scanner 掃描機 13 selective CVD 選擇式化學氣相沉積 191
scatter, scattering 散射 14, 27 selective deposition 選擇性沉積 239
scattering loss 散射損失 7 selective epitaxy growth, SEG 選擇式磊晶成
sccm, standard cubic centimeter per minute  長 162
每分鐘立方公分(流速) 42, 115, 117 selectivity 選擇性 250, 304
schedule 進度 392 self aligned 自動對準的 126, 214
Science Based Industry park 科學工業園區 self alignment 自動對齊 214
453 self anneal 自行退火 236
scorpion 蠍子 402 self annealing 室溫自動退火 231
scotch tape 隱形膠帶 95, 96, 227 self bias 自我偏壓 193, 298, 300
SCR, silicon control rectifier 矽控整流器  self ionized plasma 自行離子化電漿 225
164 self-limiting reaction 自動限制反應 217

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
500 VLSI 概論

self oxidation-reduction 自身氧化還原 230 short channel effect 短通道效應 127, 129,


SEM, scanning electron microscope 掃描電 160, 354
子顯微鏡 96, 118, 121, 223, 237 short effect 短路效用 150
Sematech (Semiconductor Manufacturing shrinkage 收縮率 90
Technology) 半導體製造技術產業聯盟 shutter 快門 54
65 SIA, Semiconductor Industry Association 半
SEMI S2 半導體安全規範S2 258 導體工業協會 21, 22, 37, 39, 179, 180,
Semiconductor Internatinal 半導體國際期 275, 384, 385, 402, 410
刊 48, 65, 70, 81, 82, 89, 179, 261, 295, side reaction 副反應 230
382, 396 side spacer 側牆間隔物 133, 135
sense amplifier 感測放大器 375 Siemen 西門 452
sensitivity 靈敏度,感度 49 Siemens 西門子(公司名) 27, 323
sensitizer 感光劑 7 signal generator 訊號產生器 155
sensor 感測器 325, 410 silane, SiH4 矽甲烷 435, 436
series resistance 串聯電阻 155 silanol 矽醇基;SiOH 4
server 伺服器 341 silica 矽土,矽石 250, 450, 452
SET, single electron transistor 單電子電晶體 silicide 矽化物 129, 130, 133, 135, 160,
400, 407, 411 209, 218, 346
SFM, scanning force microscope 掃描力顯微 silencer 消音器 432
(microscopy)鏡(術) 422, 423 silicon nitride, Si3N4 氮化矽 156
SFQR, site flatness quality requirement 位置 silicon source 矽源 74
平坦度品質要求 382 silicon trench 矽溝槽 276
SG, silicate glass 矽酸鹽玻璃 327 silicon wafer 矽晶圓 429
shallow junction 淺接面 142, 160, 345 SiLK 陶氏化學(Dow Chemical)註冊商品
Sharp 夏普(公司) 323, 371, 372, 457 名,芳香族碳氫化合物 71, 73, 82, 85,
shear stress 剪應力 61 86, 88, 97, 100
sheath 鞘 268, 283, 293, 306 siloxane 矽氧烷 327
sheath potential 鞘電位 293 silsesquioxane 矽酸鹽 72
sheet resistance 片電阻 128, 131, 161, 223, silyation 矽植入,矽化 9, 45, 239
225, 327 SIMS, secondary ion mass spectrometer 二次

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 501

離子質譜儀 121 掃描馬氏應力顯微術 423
Sing Ti Technology 新台科技(公司) 35 SN, storage node 儲存節點 107, 108, 109,
single atom switch 單原子開關 408 111, 112, 332, 343
single crystal 單晶 203 SNOM, scanning near field optical microscopy
single electron effect 單電子效應 412 掃描近場光學微影術 423
single electron tunneling 單電子穿隧 411 SOC, system on chip 完整系統在一晶片之
single pass 單循環 439 上 340
single poly Si gate 單一多晶矽閘極 126 SOD, spin on deposition 旋轉塗佈沉積 69,
sinter, sintering  燒,燒結 112, 116, 137, 72, 73
138, 333, 406 soft bake 軟烘烤 4, 47
sintering temperature 燒結溫度 405 soft error 軟性錯誤 333
SiOC 摻碳的二氧化矽 80 soft x-ray 軟X射線 20
SiOF 摻氟的二氧化矽 75, 76, 77, 78 software 軟體 392, 448
Si-plug 矽栓塞 371 SOI, silicon on insulator 矽在絕緣物上 
size 尺寸 325 139, 140, 143, 145, 326, 417
skin depth 集膚深度 296 solar cell 太陽電池 407
SKPM, scanning Kelvin probe microscopy 掃 solenoidal 螺線形的 294
描凱氏探針顯微術 423 sol-gel 溶膠-凝膠 79, 116, 119, 407
slicing 切片 382, 383 solid particle 固體粒子 187
slot antenna 溝槽天線 290 solid solubility 固體溶解度 161, 395, 396
sludge 污泥 257, 261 solid solution 固體溶液 107, 113, 247, 248
slurry 研磨材料,研漿,研磨液 69, 211, solid state detector 固態偵測器 389
250, 252, 260, 383, 406 Solid State Technology 固態科技(期刊) 
small size effect 小尺寸效應 403 40, 74, 75, 221, 225
smart card 智慧卡 370 solubility 溶解度 42
smart media 聰敏型媒體 350 solute 溶質 247
smartphone 聰敏型電話 350, 370 solvent 溶劑 46
SMIF, standard mechanical interface 標準機 sonar 聲納 402
械介面 30, 431, 440, 441, 443 source 源極 355
SMM, scanning Maxwell stress microscopy  source line, SL 源極線 361, 362, 363

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
502 VLSI 概論

space charge 空間電荷 395 掃描穿透顯微鏡(術) 417, 419, 422,


space charge polarization 空間電荷極化 62 423, 424
spacer 間隔物,邊襯 128, 130, 162, 276, spontaneous polarization 自發偏極化 104
346, 347, 450 spray pyrolysis 噴霧熱分解 407
spare parts 備用零件 381 SPT, substrate plate trench 基座板溝渠 329,
SPC, statistical process control 統計的製程 330, 333, 334
管制 396 sputter clean 濺擊清潔 136, 188
special gas 特殊氣體 445, 446, 447 sputter deposition 濺鍍 202
specific heat 比熱 177, 406 sputter etch 濺擊蝕刻 121
specific resistance 比電阻 333 sputtering 濺鍍 132, 153, 181, 186, 219
specific surface area 比表面積 405 sputtering deposition 濺鍍 133
specification 規格 27, 383 sputtering target 濺鍍靶 381
specimen 樣品 420 sputtering yield 濺擊產出率 182
spectral reflectance 反射光譜法 154 square wave generator 方波產生器 155
spectral reflectrometer 頻譜反射儀 54 SRAM, static random access memory 靜態隨
spectrograph 光譜儀 310 機存取記憶體 176, 202, 204, 205, 323,
spice 物種 132 324, 344
spike 尖突 176 SRO, silicon rich oxide layer 富矽氧化層 
spike annealing 瞬間退火 161 356
spin 自旋 403 SRO, SrRuOx 氧化鍶釕 103, 110, 111, 343,
spin coating 旋轉塗佈,旋覆鍍膜法 50, 371, 372
79, 119, 407 SR-x ray, synchrotron radiation x-ray 同步加
spin on 旋塗 116 速器輻射X射線 20
spin on glass, SOG 旋塗玻璃 159, 327, 348 SSR, smart spray rinse 智慧型噴灑清洗 
spin-on coating 旋塗 60, 66, 75 390
spiral 螺線(旋) 279 ST Microelectronics 意法(公司名) 27,
split bit-line 分割位元線 365 323
split channel 分隔通道 358 stabilizer 安定劑 227, 231
split gate 分割閘極 352 stacked 堆疊式 142, 343, 349
SPM, scanning probe microscope (microscopy) stacked gate memory 堆疊式閘記憶體 349

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 503

stainless steel 不鏽鋼 446, 448 STO, SrTiO3 鈦酸鍶 107


standard deviation 標準偏差 39, 74, 189 stocker 倉庫 445
Standford University 史丹福大學 403 stoichiometry 化學計量,化學組成 113,
standing wave 駐波 4, 7, 49 118, 132, 223
static magnetic field 靜磁場 284, 293 stoichiometry ratio 計量比 116, 223
static pressure 靜壓 435 stop 光闌 12
STC, stacked capacitor 堆疊電容 326, 327, stopper 阻絕層 346
328, 329, 330, 331 stopping layer 停止層 251
STEM, scanning tunneling electron microscopy storage 儲存,儲存器 325, 410
掃描穿透電子顯微術 418 strain 應變 104
stencil 圖規 35, 36 stress migration 應力遷移 202, 255
step and scan 步進掃描 4, 23 stress migration resistance 抗應力遷移 76,
step annealing 階段性退火 41 175

︵ㄐㄧ ㄤ︶
step coverage 梯楷覆蓋 69, 138, 174, 181, S-triazine derivative S-三 ˇ
衍生物 43
206, 215, 219, 224 stripping 去除(光阻),清除 64, 386
stepper 步進照像機,步進器 4, 13, 23, 24, strong electrolyte 強電解質 452
29, 420, 432, 457 structure coefficient 結構係數 330
stepping motor(或step motor, stepper motor) styrene 苯乙烯,C6H5(C2H3) 46
步進馬達 291 sub-atmospheric CVD 次大氣壓CVD 159
STG, surface tension gradient 表面張力梯度 substrate 基座,基板 166, 284, 292, 356
316 substrate bias 基板偏壓 90
STHM, scanning thermal microscopy 掃描熱 substrate engineering 基板工程 164
顯微術 423 subsurface 次表面 253
STI, shallow trench isolation 淺溝渠隔離  subthreshold swing 次臨限擺幅 127, 168
129, 130, 133, 139, 140, 156, 159, 168, Sumitomo 住友(公司) 275, 277
209, 210, 276, 327, 330, 332, 334, 335, super clean room 超潔淨室 432
337, 338, 339, 342, 348 super computer 超級電腦 410
STM, scanning transmission microscope super filling 超填充 233, 236
(microscopy) 掃描穿透顯微鏡(術)  supermagnetic 超磁 406
408, 418, 420, 421, 422, 423 supersonic 超音波 388

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
504 VLSI 概論

︵ㄊㄤ︶
surface and boundary effect 表面和界面效應 氧基羰 基氧 44, 45
403
t-butyl ester 叔(第三)丁基酯 44
surface channel 表面通道 126, 130, 139
TCP, transformer coupled plasma 變壓器偶
surface migration 表面遷移 184
合電漿 269, 271, 275, 297, 302
surface modification 表面改質 79
TDDB, time dependent dielectric breakdown 
surface morphology 表面形貌 336
時效介電崩潰 120, 155
surface roughness 表面粗糙度 253
TDEAT, Ti[N(C 2H 5) 2] 4 四二乙烷基胺鈦 
surface tension 表面張力 316
195, 197
surfactant 界面活性劑 227, 232, 233, 236
TDMAT, Ti[N(CH3)2]4 四二甲基胺鈦 195,
SVG, Silicon Valley Group 矽谷群(公司
197
名) 23
TDS, thermal desorption spectrometer 熱脫附
SVGL, Silicon Valley Group Lithography 矽
質譜儀 90, 97
谷群微影照像(公司名) 23
TEAA, tri ethyl amine aluminum 三乙基胺氫
swing effect 擺動效應 50, 52
化鋁 198, 199
switch 交換機 341
TEAl, (C2H5)3Al 三乙烷基鋁 198
Symposium on VLSI Technology VLSI科技
technology node 技術節點 393
研討會 151
TED, transient enhanced diffusion 暫態加強
synchrotron radiation x-ray 同步加速器輻
擴散 161, 162, 395
射X射線 20
teflon 鐵弗龍 72, 86, 88, 89, 92, 95
system LSI 系統大型積體電路 430
teflon-AF 摻氟的鐵弗龍 72, 92
T teflon-FEP, tetra fluoro ethylene-hexa fluoro
propylene copolymer 四氟乙烯-六氟
Tafel region 塔伏區 234
丙烯共聚高分子 92
Taiwan Mask 台灣光罩(公司) 35
teflon-PFA, tetra fluoro ethylene-per fluoro
TALK, Taiwan low k 臺灣低介電常數 79
methoxy ethylene copolymer 四氯乙
taper 錐形 121
烯-過氟甲氧乙烯共聚高分子 92
TARC, top anti-reflection coating 頂層抗反
TEFS, tri ethoxy fluoro silane 三乙烷基氧矽
射覆蓋 50, 52
甲烷 76, 77, 78
target 靶 116, 181
Tegal 德高(公司) 273, 275
t-BOC, t-butoxyl carbonyloxy 叔(第三)丁

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 505

TEM, transmission electron microscope 穿透 thermal nitridation 熱氮化 142


電子顯微鏡 219 thermal pyrolysis 熱裂解 226
TEMAT, Ti[N(CH3)C2H5]4 四甲基乙烷基胺 thermal resistivity 熱阻率 175
鈦 195 thermal stability 熱穩定性 96
Tenri 天理(地名) 457 thermal stress 熱應力 96, 255
tensile modulus 張力模數 62 thermal velocity 熱速度 271
tensile stress 張力應力,拉伸應力 91, 96, thermocouples 熱電偶 300
250 thermolysis 熱分解 95

︵ㄋ ㄞ︶
︵ㄈㄨ︶
TEOS, tetra ethoxysilane, Si(OC2H5)4 四乙烷 THF, tetra hydrofuram, C4H8O 四氫 喃 ˊ

基氧矽甲烷,四乙烷基矽酸鹽,正矽酸
117, 119
乙酯 76, 77, 79, 159, 348
thickness 厚度 325
TEOS-O 3  四乙烷基氧矽甲烷-臭氧 77,
thin film thermocouples 薄膜熱電偶 310
78, 327, 339
thiol 硫醇 408
tera 太(1012) 446
threshold voltage 臨限電壓 73, 126, 166,
test wafer 測試晶圓 390
354, 360, 395
tetra methylsilane 四甲基矽烷 80
threshold voltage adjustment 臨限電壓調整
tetraglyme 四甘醇三甲醚 117
126, 169
texture 織構 217
threshold voltage drift 臨限電壓漂移 143,
THD, C11H19O 一種有機物團機 119
437
thermal anneal 熱退火 203
thrombosis 血栓 401
thermal budget 熱預算 83, 127, 141, 168,
throttle valve 調壓器,節流閥 287, 289
330
throughput 產率,量產能力 7, 278
thermal conductivity 熱導率 61, 79, 255
thyratron 閘流管 27
thermal CVD hydrocarbon 熱CVD碳氫 72
TI, Texas Instruments 德州儀器(公司) 
thermal cycle 熱循環 74, 96
146, 157, 203, 323, 336, 337, 457
thermal disproportionation 熱裂解不對稱反
TIBA, triisobutylaluminum, (C4H9)3Al 三異
應 226
丁基鋁 198
thermal energy 熱能 413
time effect 時效 120
thermal expansion coefficient 熱膨脹係數 
TMAA, trimethylamine alane 三甲基胺氫化
41, 85

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
506 VLSI 概論

鋁 198, 199 toughness 韌性 80, 406


TMAAB, trimethylamine alaminaborane 三甲 TOX, tunnel oxide 穿透氧化層 350
基胺鋁硼 198 toxic by product 有毒的副產物 41
TMAH, tetra methyl ammonium hydroxide, toxic gas detection system 毒氣偵測系統 
(CH3)4NOH 四甲基氫氧化銨 5, 436 446
TMAl, trimethylaluminum, (CH3)3Al 三甲基 trace amount 痕量 445
鋁 198 track 軌道 27
tmhd, tetra methyl hexamethy lene diamine  tracker 軌道機 381
四甲基六亞甲基二胺(一種有機團基) trade mark 註冊商標 94
117 transconductance 互導 166
TMP, turbo molecular pump 渦輪分子泵  transducer 轉能器 446
222, 269, 287 transition metal 過渡金屬 178
tmvs, tri methyl vinyl silane 三甲基乙烯基矽 transmission gate, TG 傳送閘 332
甲烷 226, 237, 238, 240, 241, 256 transmission line 傳輸線 281, 287
TOC, transistor over capacitor 電晶體在電容 transmit 穿透 282
器之上 329, 330 transmittance 穿透率 53
tolerance 公差 9 transparent conductor 透明導體 103, 110,
toluene 甲苯,C6H5(CH3) 61, 437 371, 373, 406
Tonami 礪波(地名) 457 transport 傳送 187
topography 地形 8, 45, 50 transverse electric field 橫向電場 293
Toppan Chunghwa Electronics 中華凸版(公 transverse magnetic field 橫向磁場 293
司) 35 TRC, trench capacitor 溝槽電容 326, 328,
torr 托爾 42, 117, 119, 152, 198, 279, 281, 329, 330, 331
283, 288 trench 溝槽 202, 210, 211, 214, 343
torsion fatique strength 扭轉疲勞強度 114 triazine 三聚氰胺,蛋白精,C3H6N6 43
Toshiba 東芝(公司) 103, 110, 146, 157, Trikon 一公司名 272, 275
323, 372, 457 trillion 兆(1012) 410, 435
total engineering system 綜合技術系統 432 trillion bit 兆位元 410
touch fluorescent screen 觸摸式螢光幕 387, trimethyl phosphine, PMe3 三甲基氫化磷 
406 237

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 507

trimethyl silane, SiH(CH3)3 三甲基矽烷 80, U


436
UC Berkeley 加州大學柏克來分校 11
triode 三極式 273
UCB Chemical Complay 一公司名 46
Triton X-100 曲拉通(一種界面活性劑) 
UHV, ultra high vacuum 超高真空 336
227
UHV CVD, ultra high vacuum CVD 超高真
TSCA, toxic substance control act 有毒物管
空CVD 143
制條例 258, 260
ULPA f ilter, ultra low penetration air filter 超
TSI, top surface image 頂層成像,表面成像
低穿透空氣過濾器 428, 433, 434, 435,
技術 11, 12, 40, 46
437, 440, 441
T S I A , Ta i w a n S e m i c o n d u c t o r I n d u s t r y
ULSI, ultra large scale integrated circuits 極
Association 台灣半導體工業協會 21
大型積體電路 1, 2, 7, 11, 35, 59, 64, 66,
tsunami 海嘯 454
67, 68, 73, 74, 75, 76, 84, 88, 93, 120, 126,
TTL, through the lens 穿過透鏡 16, 18
129, 131, 132, 166, 174, 175, 176, 178,
tungsten filament 鎢絲 30
179, 180, 181, 182, 186, 198, 202, 205,
tungsten halogen lamp 鎢鹵素燈 354
208, 215, 229, 278, 310, 326, 327, 400,
︵ㄊㄤ︶

tungsten hexacarbonyl 六羰 基鎢,W(CO)6


439
190
ultra filtration 限外過濾 258, 448
tungsten lamp 鎢燈 389
ultra pure water 超純水 315, 387, 388, 390,
tunnel junction 穿透性接面 411, 412
448
tunnel oxide, TOX 穿隧氧化層 350
ultra violet, UV 紫外光 19, 42, 54, 437
tunneling current 穿隧電流 419
ultralow energy, ULE 極低能量 395
tunneling effect 隧道效應 403
ultrashallow junction 極淺接面 393, 395,
︵ㄨㄣ︶

turbulence 紊 ˋ 流,亂流 439 398


turbulence flow 亂流 441
ultrasonic 超音波 151, 388
turn table 轉盤 386
Ultratech 精微超科技(公司名) 24
turn-around time 移轉期 390
UMC, United Microelectronic Corp. 聯華電
twin-well 雙井 374
子(公司名) 205
two step anneal 兩階段退火 136
under cut 底切 7, 249, 294
TXRF, total x-ray reflection fluorescence 全
uni-directional flow 層流 441
反射X射線螢光 253

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
508 VLSI 概論

uniformity 均勻性 304 213, 214, 236, 276, 348


University of California 加州大學 409 via poisoning 介質窗毒化 70
University of Wisconsin 威斯康辛大學 411 virus 病毒 409
uptime 正常操作時間 29 VLSI, very large scale integrated circuits 超
utility 廠務設施 428 大型積體電路 2, 92, 137, 151, 428
utilization 使用率 391 void 空隙,空缺 235, 237
UV index 紫外線指數 255
W
UV irradiation 紫外光照射 350
UV radiation 紫外線輻射 167 wafer 晶圓 2, 4, 25, 380, 393
UV sterilization 紫外殺菌 448 wafer carrier 晶圓載具 392
wafer cassette 晶圓盒 392
V
wafer cleaning 晶圓洗淨 314, 384
vacuum chuck 真空吸盤 302 wafer drying 晶圓乾燥 315
vacuum pump 真空泵 446 wafer reclaim 晶圓回收 260, 386, 390
vacuum pumping system 真空抽氣系統  wafer stocker 晶圓存放庫 441
181 warp 翹曲 383
vacuum UV, VUV 真空紫外光 19, 20 water polishing 練水 449
valence band 價電帶 139, 364 water supply plant 自來水廠 458
valve 閥 92, 240, 288, 446, 447 wavefront 波前 29
van der Waals force 凡得瓦力 3, 316, 421 waveguide 波導(管) 47, 281, 287
vapor phase cleaning 氣相洗淨 390 weak electrolyte 弱電解質 452
vapor pressure 蒸氣壓 114 well 井 357
VDP, vapor deposition polymerization 蒸氣 West Pacific 西太平洋 453
沉積高分子化 95 wet bench 濕化學站 314
vector scan 向量掃描 35 wet etching 濕式蝕刻 249
velocity 風速 440 wet station 酸洗槽,濕化學槽 439
vertical laminar flow, VLF 垂直層流 430, wetting 潤濕 233
438, 439 wetting layer 潤濕層 183, 226
via 貫穿孔,孔洞 39, 68, 76, 79, 181, 182, whistler wave 嘯聲信號波 271
188, 189, 190, 202, 204, 207, 209, 210, window 視窗 304

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
索 引 509

WIP, work in process 在製品 153, 392, 393, x-ray photoelectron spectrometer, XPS X射線


444 光電子譜儀 53, 96, 121
wire saw 線鋸 383 x-ray resist X射線阻劑 45
W/L, width/length 寬長比 355 XRD, x-ray diffractometer X射線繞射儀 
word line 字元線 325, 326, 328, 346, 354, 121, 223, 227
358, 362, 363, 373, 375, 376 xylene, C6H5(CH3)2 二甲苯 5, 91
work function 功函數 111, 130, 139, 144
Y
work station 工作站 410
workcell 工作單元 27 Y1 鉭酸鉍鍶,SBT 113
W-plug 鎢栓塞 68, 76, 178, 186, 190, 209, Yamaguchi 山口(地名) 457
212, 343 yellow room 黃光室 212, 214, 381, 447
write in 寫入 361 yellow room process 黃光室製程 212
yield 良品率 76, 381, 391, 392, 428
X
yield strength 屈服強度 406
xerogel 乾凝膠 79 Yokkaichi 四日市(地名) 457
XPS, x-ray photoelectron spectroscope X射線 Young's modulus 楊氏模數 96, 177, 207
光電子譜儀 121
Z
x-ray X射線 19, 36
x-ray diffraction X射線繞射 219, 225 Zeta 剪面,一設備型式 386
x-ray lithograply X射線微影照像 21, 40 zeta potential 剪面電位 253, 314
x-ray mask X射線光罩 37 ZTT, ZrSnTiOx 鈦酸錫鋯 103

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066
VLSI概論/張勁燕編著. --一版.--臺北
市:五南, 2008.12
 面; 公分
含參考書目及索引
ISBN 978-957-11-5245-5 (平裝)
1.積體電路
448.62 97010412

5DA4

VLSI概論
作  者 ─ 張勁燕(214.1)

發 行 人 ─ 楊榮川

總 編 輯 ─ 龐君豪

主  編 ─ 穆文娟

責任編輯 ─ 蔡曉雯

封面設計 ─ 簡愷立

出 版 者 ─ 五南圖書出版股份有限公司

地  址:106台 北 市 大 安 區 和 平 東 路 二 段 3 3 9 號 4 樓

電  話:(02)2705-5066  傳  真:(02)2706-6100

網  址:http://www.wunan.com.tw

電子郵件:wunan@wunan.com.tw

劃撥帳號:0 1 0 6 8 9 5 3

戶  名:五南圖書出版股份有限公司

台中市駐區辦公室/台中市中區中山路6號

電  話:(04)2223-0891  傳  真:(04)2223-3549

高雄市駐區辦公室/高雄市新興區中山一路290號

電  話:(07)2358-702   傳  真:(07)2350-236

法律顧問 元貞聯合法律事務所 張澤平律師

出版日期 2 0 0 8 年 1 2 月 一 版 一 刷

定  價 新 臺 幣 6 5 0 元

※版權所有.欲利用本書內容,必須徵求本公司同意※

本試閱檔為五南所有。如欲購買此書,請至五南網站 www.wunan.com.tw
或來電(02)2705-5066

You might also like