You are on page 1of 18

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).

Fundamentos tericos de la Computacin

INTRODUCCION. Los circuitos integrados son utilizados para realizar funciones electrnicas precisas y especificas, para que estos funcione se combina con otros componentes ya que esto permite formar un sistema ms complejo. Este pequeo concepto sobre que es un circuito integrado es importante darlo al comienzo de esta investigacin ya que, nos enfocamos en dos circuitos integrados digitales, codificador y decodificador, ambos son circuitos combinacionales. Que cuando se enuncia permiten al computador recibir la una informacin de una manera y procesarla y enviarla de otra forma, y octales. Esta investigacin nos ayudara como base terica para la creacin teclado. de un montaje de Codificador de prioridad Decimal (BCD 74147), empleando un sistema de visualizacin numrica con informacin es en base a nmeros bien sean en binarios, decimales

Pgina 3

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

1. CIRCUITOS DECODIFICADORES Y CODIFICADORES 1.1 CIRCUITOS DECODIFICADORES. El decodificador es un circuito lgico que acepta un conjunto de entrada, representa un nmero binario y solo activo la salida que corresponde a ese nmero de entrada. Un circuito decodificador analiza sus entradas, determina que numero binario est presente all y activa la salida que corresponde a ese nmero; el resto de las salidas permanecen inactivas. El diagrama para un decodificador general de N entradas y M salidas. Como cada una de las N entradas puede ser 0 o 1, existen 2n combinaciones posibles de entrada o cdigo. Para cada una de estas combinaciones de en rada solo una de las M salidas ser activa (ALTO); el resto de las salidas sern BAJAS. Muchos decodificadores son diseados para producir salidas activas en bajo, donde solo la salida seleccionada es BAJA, en tanto que las otras son ALTAS. Esto se indica mediante crculos pequeos en las lneas a de salida en el diagrama del decodificador. En algunas decodificadores no se utilizan todos los 2n cdigos posibles de entrada, sino solo unos cuantos.

Pgina 4

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

Diagrama general del decodificador. 1.2 ENTRADAS DE ENABLE. Se emplean para controlar la operacin del decodificador, algunos decodificadores tienen una o ms entradas de ENABLE que suponga que tiene una lnea comn de ENABLE conectada a una cuarta entrada de cada compuerta. Con esta lnea de ENABLE mantenida en ALTO, el decodificador funcionara normalmente y el cdigo de entrada A, B, C determinara que salida es ALTA. Sin embargo, con la ENABLE mantenida en BAJO, todas las salidas se forzaran al estado BAJO sin importar los niveles en las entradas A, B, C. As el decodificador se habilita solo si la ENABLE es ALTA.

Pgina 5

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

Decodificador de 3 lneas a 8 lneas (o bien, 1 de 8). 1.3 DECODIFICADORES DE BCD A DECIMAL Cada salida pasa a BAJO solo cuando se aplica s entrada BCD correspondiente. Por ejemplo, O5 pasara a BAJO solo cuando las entradas DCBA = 1001; O8 pasara a BAJO solo cuando DCBA=1000. Para combinaciones de entrada que son invlidas para BCD, ninguna de las salidas se activara. A este decodificador de 1 a 10.

Diagrama lgico del decodificador 7442 de BCD a decimal, (b) smbolo lgico; (c) tabla de verdad.

Pgina 6

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

1.4 DECODIFICADOR-EXCITADOR BCD A DECIMAL -El TTL 7445 es un decodificador-excitador de BCD a decimal. El trmino excitador se agrega a su descripcin porque este CI tiene salidas de colector abierto que pueden operar con lmites de corriente y voltaje menor que una salida TT normal. Las salidas del 7445 puede sumir hasta 80 A en el estado BAJO y pueden ascender hasta 30V en el estado ALTO. Esto las hace adecuadas para manejar de manera directa cargas de LEDs o lmparas, relevadores o motores de corriente directa. 1.5 APLICACIONES DEL DECODIFICADOR Los decodificadores se emplean cuando una salida o un grupo de estas se deban activar solo cuando ocurra una combinacin especifica de niveles de entrada. Estos niveles de entrada a menudo los proporcionan las salidas de un contador o de un registro. Cuando las entradas del decodificador provienen de un contador que se est pulsando de manera continua, las salidas del decodificador se activan en forma secuencial y se pueden emplear como seales de sincronizacin para encender o apagar dispositivos en tiempos especficos. Los decodificadores se emplean ampliamente en el sistema de memoria de una computadora donde responden al cdigo de direccin que general el procesador central para activar una localizacin de memoria en particular. Cada CI de memoria contiene muchos registros que pueden almacenar nmeros binarios (datos). Cada registro necesita tener su propia direccin nica para distinguirlos de los dems. En la circuitera de los CIs de memoria se construye un decodificador, el cual permite que determinado registro de almacenamiento se active cuando se

Pgina 7

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

aplica una combinacin nica de entradas (es decir, su direccin). Por lo general en un sistema hay varios CIs de memoria combinados para conformar la capacidad de almacenamiento total. Un decodificador se emplea para seleccionar un CI de memoria como respuesta a un intervalo de direcciones del sistema y habilitando seleccionando) un CI en particular. Para sistemas como este con frecuencia se emplea un dispositivo lgico programable para implementar el decodificador, puesto que un simple decodificador de 1 a 8 no es suficiente. Los dispositivos lgicos programables se pueden usar fcilmente par aplicaciones de decodificacin especficas. 2. DECODIFICADORES-EXCITADORES DE BCD A 7 SEGMENTOS. La mayora del equipo digital tiene algn medio para desplegar visualmente la informacin de manera que la entienda fcilmente el usuario u operador. Esta informacin a menudo consiste en datos numricos, pero tambin puede ser alfanumrica (nmeros y letras). En uno de los mtodos ms simples y populares para desplegar visualmente dgitos numricos se emplea una configuracin de 7 segmentos par a formar los caracteres 0-9, y a veces los caracteres hexadecimales A-F. En una configuracin comn se emplean diodos emisores de luz (LED) para cada segmento. Si se controla la corriente a travs de cada LED algunos segmentos se iluminaran y otros permanecern oscuros, de modo que se generara el patrn de carcter deseado.

Pgina 8

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

(a) Configuracin de 7 segmentos; (b) segmentos activos para cada dgito

El decodificador-excitador de BCD a 7 segmentos se usa par a tomar una entrada BCD de cuatro bits y proporcionar las salidas que pasaran corriente a travs de los segmentos apropiados para desplegar visualmente el dgito decimal. La lgica para este decodificador es ms complicada que la de los decodificadores que analizamos antes, debido a que cada salida se activa mediante ms de una combinacin de entradas. Por ejemplo, el segmento e se debe activar para cualquiera de los dgitos 0, 2, 6 y 8, lo que significa que tienen lugar cualquiera de los cdigos 0000,

0010, 0110 o 1000. (a)Decodificador-excitador de BCD a 7 segmentos excitando un visualizador LED de 7 segmentos con nodo comn; (b) patrones de segmento para todos los cdigos de entrada posibles. Muestra un decodificador-excitador de BCD a 7 segmentos (TTL 7446 o bien 7447) usado para excitar una lectura LED de 7

Pgina 9

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

segmentos. Cada segmento consta de uno o ms LEDs. Todos los nodos de los LEDs estn conectados a Vcc (+5 V). Los nodos de los LEDs estn conectados mediante resistencias limitadoras de corriente a las salidas correctas del decodificador-excitador. El decodificador-excitador tiene salidas activas en BAJO que son transistores de excitacin de colector abierto, los cuales pueden sumir una gran cantidad de corriente. Esto se debe a que las lecturas de los LEDs quiz requieran 10 a 40 mA por segmento, dependiendo de su tipo y tamao. 2.1 VISULIAZADORES LED DE ANODO COMUN VS. CATODO COMUN. El visualizador LED usado en la figura anterior (numero de la imagen) es el tipo nodo comn porque los nodos de todos los segmentos estn conectados a Vcc. En otro tipo de visualizador LED de 7 segmentos se usa una configuracin de ctodo comn en la cual los ctodos de todos los segmentos estn unidos y conectados a tierra. Este tipo de visualizador lo debe excitar un decodificador-excitador de BC a 7 segmentos, con salidas activas en ALTO que apliquen un voltaje ALTO a los nodos de los segmentos a activar. Como cada segmento requiere de 10 a 20 mA de corriente para iluminarlo, por lo general no se usan dispositivos TTL o CMOS para excitar directamente el visualizador de ctodo comn. Recuerde que en el captulo 8 vimos que las salidas TTL y CMOS no son capaces de suministrar grandes cantidad de corriente. A menudo se emplea un circuito de interfaz de transistor entre los chips de los decodificadores y el visualizador de ctodo comn.

Pgina 10

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

3. VISUALIZADORES DE CRISTAL LQUIDO. Un visualizador LED genera o emite energa luminosa cuando pasa corriente a travs de los segmentos individuales. Un visualizador de cristal lquido (LCD) controla la reflexin de luz disponible. Esta luz puede ser simplemente luz ambiente (circundante), como la sola o la iluminacin normal de un espacio; en los LCDs reflejantes se usa la luz ambiente. O bien, la luz disponible, la cual podra proporcionar una fuente de luz pequea que sea parte de la unidad de visualizacin; en los LCDs de luz traseras se usa este mtodo. En cualquier caso, los LCDs han ganado amplia aceptacin debido a su consumo de potencia muy bajo en comparacin con los LEDs, especialmente en equipo alimentado con bateras, como por ejemplo calculadores, relojes digitales e instrumentos de medicin electrnicos porttiles. Los LEDs tienen la ventaja de proporcionar una visualizacin mucho ms brillante y al contrario de los LCDs reflejantes, se pueden ver fcilmente en reas oscuras o pobremente iluminadas. Bsicamente, Los LCDs operan con un voltaje bajo

(comnmente de 3 a 15 V rms), con seal de corriente alterna de baja frecuencia (de 25a 60 Hz) y consumen muy poca corriente. Con frecuencia se configuran como visualizadores de 7 segmentos para lecturas numricas, como se muestra en la siguiente figura:

Pgina 11

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

El voltaje de corriente alterno necesario para encender un segmento se aplica entre el segmento y el plano posterior, que es comn para todos los segmentos. El segmento y el plano posterior forman un capacitador que consume muy poca corriente, siempre y cuando la frecuencia de la corriente alterna se mantenga baja. Por lo general, esta frecuencia no es menos que 25 Hz, debido a que producira un parpadeo visible. Una explicacin simplificada sobre cmo opera un LCDs mas menos la siguientes: cuando no hay diferencia de voltaje entre un segmento y el plano posterior, se dice que el segmento no est activado (APAGADO). Los segmentos d, e, f y g de la siguiente figura:

Estn APAGADOS y reflejaran luz incidente, de modo que aparecen visibles contra su fondo. Cuando se aplica un voltaje de corriente alterna apropiado entre el segmento y el plano posterior, el segmento se activa (ENCENDIDO). 4. EXCITACIN DE UN LCD. Un segmento de un LCD se encender cuando se aplique un voltaje de corriente alterna entre el segmento y el plano posterior, y se APAGAR cuando no vista voltaje entre los dos. En vez de generar una seal de corriente alterna, es prctica comn producir

Pgina 12

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

el

voltaje

de corriente

alterno

requerido

aplicando ondas

cuadradas fuera de fase al segmento y al plano posterior. Esto se ilustra en la siguiente figura:

Mtodo para excitar un segmento de LCD Para un segmento se aplica una onda cuadrada de 40 Hz al plano posterior y tambin a la entrada de una compuerta XOR CMOS 74HC86. La otra entrada de la compuerta XOR es una entrada de CONTROL que controlara si el segmento esta ENCENDIDO o APAGADO. Cuando la entrada CONTROL sea BAJA, la salida XOR ser exactamente la misma que la onda cuadrada de 40 Hz, de manera que las seales aplicadas al segmento y al plano posterior sern iguales. Como no hay diferencia de voltaje, el segmento estado APAGADO Cuando la entrada CONTROL es ALTA, la salida de compuerta XOR ser El INVERSO de la onda cuadrada de 40 HZ, de manera que la seal aplicada al segmento estar fuera de fase con la seal aplicada al plano posterior. Como resultado, el voltaje en el segmento ser de manera alterna +5 V y -5 V con respecto al plano posterior. Este voltaje de corriente alterna ENCENDERA el segmento. Esta misma idea se puede extender aun visualizador LCD completo de 7 segmentos, como se muestra en la siguiente imagen:

Pgina 13

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

Excitacin en visualizador de 7 segmentos. En este caso el decodificador excitador de BCD a 7 segmentos CMOS 74HC4511 suministra las seales de CONTROL a cada una de las siete compuertas XOR para los siete segmentos. El 74HC4511 tiene salidas activas en ALTO para encender un segmento. El decodificador-excitador y las compuertas XOR de la siguiente figura:

Pgina 14

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

Estn disponibles en un solo chip. El CMOS 74HC4543 es uno de estos chips, el cual toma un cdigo de entrada BCD y proporciona las salidas para excitar directamente los segmentos del LCD. En general, los dispositivos CMOS se emplean para excitar los LCDs por dos razones: (1) requieren mucho menos potencia que los TTLs y son ms adecuados para las aplicaciones operadas con bateras donde se usen LCDs; (2) el voltaje de estado BAJO de TTL no es 0 V exactamente y puede ser tan alto como 0.4V. Esto producir una componente de corriente directa del voltaje entre el segmento y el plano posterior, lo cual reduce considerablemente la vida de un LCD. 5. CIRCUITOS CODIFICADORES.La mayora de los decodificadores aceptan un cdigo d entrada y producen un ALTO (o un BAJO) en una y solo en una lnea de salida. En otras palabras, un decodificador identifica, reconoce y detecta un cdigo en particular. A lo opuesto de este proceso d decodificacin se le llama codificacin y se lleva a cabo mediante un circuito lgico llamado codificador. Un codificador tiene un nmero de lneas de entrada, de las cuales solo una se activa en un tiempo determinado y produce un cdigo de salida de Nbits, dependiendo de cual entrada se active, como lo muestra la siguiente imagen:

Pgina 15

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

Diagrama general del codificador. Donde se muestra en dicho diagrama general de un codificador con M entradas y N salidas. Aqu las entradas son activas en ALTO, lo cual significa que normalmente son BAJAS.

5.1 TIPO DE CODIFICADORES 5.1.1 CODIFICADORES DE PRIORIDAD Este circuito incluye una lgica necesaria para asegurar que cuando dos o ms entradas se activen, el cdigo de salida corresponder a la entrada que tiene asociada el mayor de los nmeros. Por ejemplo en la siguiente imagen, cuando A3 y A5 sean

Pgina 16

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

BAJAS el cdigo salida ser 101 (5). As sucede tambin cuando A6, A2, y A0 estn en BAJO, el cdigo de salida ser 110 (6). El 74148, 74LS148 y 74HC148 son codificadores de prioridad de octal a binario. 5.1.2 CODIFICADOR DE PRIORIDAD DECIMAL A BCD 74147. A continuacin el smbolo lgico y la tabla de verdad para el 74147 (74LS147 74HC147), el cual funciona como decodificador de prioridad decimal a BCD.

Codificador de prioridad 74147 de decimal a BCD. Tiene nueve (9) entradas activas en BAJO las cuales

representan los nmeros del 1 al 9 y produce el cdigo BCD inverso correspondiente a la entrada activa con el nmero mayor. La tabla de verdad que muestra circuito, muestra una primera lnea la cual tiene todas las entradas en estado inactivos en ALTO. Para esta condicin la salidas son 1111, que es el inverso de 0000, el cdigo BCD para 0. La segunda lnea de la tabla nos indica que un BAJO en A9, sin importar los estados en las otras entradas, producir un cdigo de salida 0110, que es el inverso de 1001, el cdigo BDC para 9.

Pgina 17

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

La tercera lnea muestra un BAJO A8, siempre y cuando que la entrada en A9, sea ALTA, producir un cdigo de salida 0111, el inverso de 1000, el cdigo BCD para 8. Y de manera similar es en las lneas restantes en la tabla muestran que un BAJO en cualquier entrada, si es que todas las entradas con numeracin mayor son ALTAS, producir el inverso del cdigo BCD para esa entrada. Las salidas de un codificador 74147 normalmente sern ALTAS cuando ninguna de las entradas se active. Esto corresponde con la condicin de entrada 0 decimal. No hay entrada A0, ya que el codificador supone el estado de entrada 0 decimal cuando todas las entradas son ALTAS. Las salidas BCD invertidas del 74147 se pueden convertir a BCD normal, pasando por un INVERSOR. 5.1.3 CODIFICADORES INTERRUPTORES Se utilizan 10 interruptores estos podran ser los interruptores del teclado o de la calculadora, representando los dgitos del 0 al 9. Normalmente los interruptores son del tipo abierto normal, de modo que todas las entradas del codificador son altas y la salida BCD de la tecla con el numero mayor. El codificador del siguiente ejemplo se puede usar cuando haya que ingresar dato BCD de forma manual en un sistema digital. Un ejemplo seria una calculadora electrnica, en la que el operador presiona sucesivamente varios interruptores para ingresar un nmero decimal.

Pgina 18

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

CONCLUSION.

Declarando ya como resumen para concluir dicho trabajo de investigacin en referencia a los Circuitos Integrado especficamente de los Codificadores y Decodificadores, se podra decir que desde el origen del computador se aplica desde su principio tablas de verdad y circuitos integrados, solo que estos se clasifican en ciertos tipos, en el caso de los decodificadores y codificadores se utiliza lo que llamamos Circuitos MSI (Media Escala de Integracin), siendo est compuertas lgicas. Existen algunos paquetes en circuito integrado que realizan funciones lgicas muy usuales y que representan una ligera variante a los decodificadores mencionados anteriormente. conformada hasta con 100

Pgina 19

CIRCUITOS INTEGRADOS (CODIFICADOR-DECODIFICADOR).


Fundamentos tericos de la Computacin

REFERENCIAS BIBLIOGRFICAS

FUENTES IMPRESAS: Libros. Tocci Wiclmer. (2003). Sistemas digitales Principios y Aplicaciones (8va ed.). Mxico. Herbet Taub. (1982). Circuitos digitales y microprocesadores. (1ra ed.). Espaa: La Colonia Thomas L. Floy. (1998). Fundamentos de sistemas digitales. (6ta ed.). Espaa. Roden S. Martin. (2000). Diseo Electrnico de circuitos y sistemas. (3ra ed.). Mexico: Savant Jr.C.J

Pgina 20

You might also like