You are on page 1of 18

" Use Vim settings, rather then Vi settings (much better!).

" This must be first, because it changes other options as a side effect.
set nocompatible
" TODO: this may not be in the correct place. It is intended to allow overriding
<Leader>.
" source ~/.vimrc.before if it exists.
if filereadable(expand("~/.vimrc.before"))
source ~/.vimrc.before
endif
" ================ General Config ====================
set number
"Line numbers are good
set backspace=indent,eol,start "Allow backspace in insert mode
set history=1000
"Store lots of :cmdline history
set showcmd
"Show incomplete cmds down the bottom
set showmode
"Show current mode down the bottom
set gcr=a:blinkon0
"Disable cursor blink
set visualbell
"No sounds
set autoread
"Reload files changed outside vim
colorscheme desert "Changes the color scheme. Change this to your liking. Looki
n /usr/share/vim/vim61/colors/ for options.
" This makes vim act like all other editors, buffers can
" exist in the background without being in a window.
" http://items.sjbach.com/319/configuring-vim-right
set hidden
"turn on syntax highlighting
syntax on
" Change leader to a comma because the backslash is too far away
" That means all \x commands turn into ,x
" The mapleader has to be set before vundle starts loading all
" the plugins.
let mapleader=","
" =============== Vundle Initialization ===============
" This loads all the plugins specified in ~/.vim/vundles.vim
" Use Vundle plugin to manage all other plugins
if filereadable(expand("~/.vim/vundles.vim"))
source ~/.vim/vundles.vim
endif
" ================ Turn Off Swap Files ==============
set noswapfile
set nobackup
set nowb
" ================ Persistent Undo ==================
" Keep undo history across sessions, by storing in file.
" Only works all the time.
if has('persistent_undo') && !isdirectory(expand('~').'/.vim/backups')
silent !mkdir ~/.vim/backups > /dev/null 2>&1
set undodir=~/.vim/backups
set undofile
endif

" ================ Indentation ======================


set
set
set
set
set
set
set

autoindent
smartindent
smarttab
shiftwidth=2
softtabstop=2
tabstop=2
expandtab

" Auto indent pasted text


nnoremap p p=`]<C-o>
nnoremap P P=`]<C-o>
filetype plugin on
filetype indent on
" Display tabs and trailing spaces visually
set list listchars=tab:\ \ ,trail:
set nowrap
set linebreak

"Don't wrap lines


"Wrap lines at convenient points

" ================ Folds ============================


set foldmethod=indent
set foldnestmax=3
set nofoldenable

"fold based on indent


"deepest fold is 3 levels
"dont fold by default

" ================ Completion =======================


set
set
set
set
set
set
set
set
set
set
set
set

wildmode=list:longest
wildmenu
"enable ctrl-n and ctrl-p to scroll thru matches
wildignore=*.o,*.obj,*~ "stuff to ignore when tab completing
wildignore+=*vim/backups*
wildignore+=*sass-cache*
wildignore+=*DS_Store*
wildignore+=vendor/rails/**
wildignore+=vendor/cache/**
wildignore+=*.gem
wildignore+=log/**
wildignore+=tmp/**
wildignore+=*.png,*.jpg,*.gif

"
" ================ Scrolling ========================
set scrolloff=8
set sidescrolloff=15
set sidescroll=1

"Start scrolling when we're 8 lines away from margins

" ================ Search ===========================


set
set
set
set

incsearch
hlsearch
ignorecase
smartcase

"
"
"
"

Find the next match as we type the search


Highlight searches by default
Ignore case when searching...
...unless we type a capital

" ================ Custom Settings ========================


"so ~/.yadr/vim/settings.vim

"-----------------------------------------------------------------------------------" Vim syntax file


" Language:
Verilog/SystemVerilog HDL + UVM
" Author:
Amit Sethi, Amal Khailtash, Khalid Siddiqi
" Last Change: Sun Mar 6 21:11:18 PST 2011
" Version:
3.0
"
" Maintainer:
"
" Authors:
" Amit Sethi
<amitrajsethi@yahoo.com>
" Amal Khailtash <akhailtash@rogers.com>
" Khalid Siddiqi <khalid2@yahoo.com>
"
" Credits:
" Originally created by
"
Amit Sethi <amitrajsethi@yahoo.com>
" OVM 2.0 Classes/Methods
"
Amal Khailtash <akhailtash@rogers.com>
" OVM 2.0 to UVM conversion
"
Khalid Siddiqi <khalid2@yahoo.com>
"
" Revision Comments:
"
Amit Sethi <amitrajsethi@yahoo.com> - Thu Jul 27 12:54:08 IST 2006
"
Version 1.1
"
Amal Khailtash <akhailtash@rogers.com> - Mon Sep 29 09:55:42 EDT 2008
"
Version 2.0
"
Added OVM 2.0 Keyworks
"
Another option is the work by Anil Raj Gopalakrishnan <anilraj.gr@gmail.
com>
"
http://verifideas.blogspot.com/2008/09/better-syntax-highlighting-in.h
tml
"
Khalid Siddiqi <khalid2@yahoo.com> Sun Mar 6 21:11:18 PST 2011
"
Version 3.0
"
Converted OVM 2.0 version to UVM
"
"
"
"

Extends Verilog syntax


Requires $VIMRUNTIME/syntax/verilog.vim to exist
For more info on setting $VIMRUNTIME etc. see:
http://vimdoc.sourceforge.net/htmldoc/syntax.html

" For version 5.x: Clear all syntax items


" For version 6.x: Quit when a syntax file was already loaded
"-----------------------------------------------------------------------------------if version < 600
syntax clear
elseif exists("b:current_syntax")
finish
endif
" Read in Verilog syntax files
if version < 600

so syntax/verilog.vim
else
runtime! syntax/verilog.vim
endif
syn sync lines=1000
"##########################################################
"
SystemVerilog Syntax
"##########################################################
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn

keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword
keyword

verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement
verilogStatement

syn keyword verilogTypeDef

module endmodule
always_comb always_ff always_latch
class endclass
virtual local const protected
package endpackage
rand randc constraint randomize
with inside dist
randcase
sequence endsequence randsequence
get_randstate set_randstate
srandom
logic bit byte time
int longint shortint
struct packed
final
import export
context pure
void shortreal chandle string
clocking endclocking
interface endinterface modport
cover covergroup coverpoint endgroup
property endproperty
program endprogram
bins binsof illegal_bins ignore_bins
alias matches solve static assert
assume super before expect bind
extends null tagged extern this
first_match throughout timeprecision
timeunit priority type union unique
uwire var cross ref wait_order intersect
wildcard within
semaphore triggered
std
new
typedef enum

syn keyword verilogConditional iff


syn keyword verilogRepeat
syn keyword verilogRepeat

return break continue


do while foreach

syn keyword verilogLabel

join_any join_none forkjoin

syn
syn
syn
syn

"`begin_\w\+"
"`end_\w\+"
"`remove_\w\+"
"`restore_\w\+"

match
match
match
match

verilogGlobal
verilogGlobal
verilogGlobal
verilogGlobal

syn match

verilogGlobal

"`[a-zA-Z0-9_]\+\>"

syn match
syn match

verilogNumber
verilogNumber

"\<[0-9][0-9_\.]\=\([fpnum]\|\)s\>"
"\<[0-9][0-9_\.]\=step\>"

syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn

verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod

"\.atobin\>"
"\.atohex\>"
"\.atoi\>"
"\.atooct\>"
"\.atoreal\>"
"\.await\>"
"\.back\>"
"\.bintoa\>"
"\.clear\>"
"\.compare\>"
"\.data\>"
"\.delete\>"
"\.empty\>"
"\.eq\>"
"\.erase\>"
"\.erase_range\>"
"\.exists\>"
"\.find\>"
"\.find_first\>"
"\.find_first_index\>"
"\.find_index\>"
"\.find_last\>"
"\.find_last_index\>"
"\.finish\>"
"\.first\>"
"\.front\>"
"\.get\>"
"\.getc\>"
"\.hextoa\>"
"\.icompare\>"
"\.index\>"
"\.insert\>"
"\.insert_range\>"
"\.itoa\>"
"\.kill\>"
"\.last\>"
"\.len\>"
"\.max\>"
"\.min\>"
"\.name\>"
"\.neq\>"
"\.new\>"
"\.next\>"
"\.num\>"
"\.octtoa\>"
"\.peek\>"
"\.pop_back\>"
"\.pop_front\>"
"\.prev\>"
"\.product\>"
"\.purge\>"
"\.push_back\>"
"\.push_front\>"
"\.put\>"

match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match

syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn
syn

match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match
match

syn match

verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod
verilogMethod

"\.putc\>"
"\.rand_mode\>"
"\.realtoa\>"
"\.resume\>"
"\.reverse\>"
"\.rsort\>"
"\.self\>"
"\.set\>"
"\.shuffle\>"
"\.size\>"
"\.sort\>"
"\.start\>"
"\.status\>"
"\.stop\>"
"\.substr\>"
"\.sum\>"
"\.suspend\>"
"\.swap\>"
"\.tolower\>"
"\.toupper\>"
"\.try_get\>"
"\.try_peek\>"
"\.try_put\>"
"\.unique\>"
"\.unique_index\>"
"\.xor\>"

verilogAssertion

"\<\w\+\>\s*:\s*\<assert\>\_.\{-});"

"------------------------------------------------------------------------------" UVM
"syn match uvmClass
"\<uvm_\w\+\>"
"syn match uvmClass
"\<uvm_tlm_\w\+\>"
"syn keyword uvmClass
"syn keyword uvmMethod
"syn keyword uvmMethodGlobal
"syn keyword uvmDeprecatedMethod
"------------------------------------------------------------------------------" uvm_sv/src/base/
"------------------------------------------------------------------------------" uvm_sv/src/base/uvm_component.svh
syn keyword uvmClass
uvm_component
syn keyword uvmMethod
accept_tr apply_config_settings begin_child_tr
begin_tr build check clone
syn keyword uvmMethod
connect create create_component create_object d
o_accept_tr do_begin_tr do_end_tr
syn keyword uvmMethod
do_flush do_func_phase do_kill_all do_resolve_b
indings do_task_phase end_of_elaboration
syn keyword uvmMethod
end_tr extract flush get_child get_config_int g
et_config_object get_config_string
syn keyword uvmMethod
get_first_child get_full_name get_next_child ge
t_num_children get_parent has_child
syn keyword uvmMethod
kill lookup m_begin_tr print_config_settings pr
int_override_info record_error_tr
syn keyword uvmMethod
record_event_tr report resolve_bindings restart
resume run set_config_int set_config_object
syn keyword uvmMethod
set_config_string set_inst_override set_inst_ov
erride_by_type set_name
syn keyword uvmMethod
set_report_default_file_hier set_report_id_acti

on_hier set_report_id_file_hier
syn keyword uvmMethod
rity_file_hier
syn keyword uvmMethod
everity_id_file_hier
syn keyword uvmMethod
de set_type_override_by_type
syn keyword uvmMethod
syn keyword uvmDeprecatedMethod
d_components get_component
syn keyword uvmDeprecatedMethod
"syn keyword uvmDeprecatedMethod
syn keyword uvmDeprecatedMethod
syn keyword uvmDeprecatedClass
" uvm_sv/src/base/uvm_config.svh
syn keyword uvmClass
syn keyword uvmMethod
ring
syn keyword uvmClass
syn keyword uvmMethod
syn keyword uvmClass
syn keyword uvmMethod
syn keyword uvmClass
syn keyword uvmMethod
syn keyword uvmMethodGlobal
ing

set_report_severity_action_hier set_report_seve
set_report_severity_id_action_hier set_report_s
set_report_verbosity_level_hier set_type_overri
start_of_simulation status stop suspend
configure export_connections find_component fin
get_num_components
global_stop_request
import_connections post_new pre_run
uvm_threaded_component
uvm_config_setting
matches_string print_match type_string value_st
uvm_int_config_setting
matches_string type_string value_string
uvm_string_config_setting
matches_string type_string value_string
uvm_object_config_setting
matches_string type_string value_string
set_config_int set_config_object set_config_str

" uvm_sv/src/base/uvm_env.svh
syn keyword uvmClass
uvm_env
syn keyword uvmMethod
do_task_phase get_type_name run_test
syn keyword uvmDeprecatedMethod do_test
" uvm_sv/src/base/uvm_event.svh
syn keyword uvmClass
uvm_event_callback
syn keyword uvmMethod
create pre_trigger post_trigger
syn keyword uvmClass
uvm_event
syn keyword uvmMethod
add_callback cancel create delete_callback do_c
opy do_print get_num_waiters
syn keyword uvmMethod
get_trigger_data get_trigger_time get_type_name
is_off is_on reset trigger
syn keyword uvmMethod
wait_off wait_on wait_ptrigger wait_ptrigger_da
ta wait_trigger wait_trigger_data
syn keyword uvmClass
uvm_event_pool
syn keyword uvmMethod
create delete do_copy do_print exists first get
get_global_pool get_type_name
syn keyword uvmMethod
last next num prev
syn keyword uvmClass
uvm_barrier
syn keyword uvmMethod
cancel create do_copy do_print get_num_waiters
get_threshold get_type_name
syn keyword uvmMethod
reached_threshold reset set_auto_reset set_thre
shold wait_for
syn keyword uvmClass
uvm_barrier_pool
syn keyword uvmMethod
create delete do_copy do_print exists first get
get_global_pool get_type_name
syn keyword uvmMethod
last next num prev
" uvm_sv/src/base/uvm_report_server.svh
syn keyword uvmClass
uvm_report_server
syn keyword uvmMethod
compose_message copy_id_counts copy_severity_co

unts dump_server_state
syn keyword uvmMethod
uit_count get_severity_count
syn keyword uvmMethod
nt is_quit_count_reached
syn keyword uvmMethod
verity_counts set_id_count
syn keyword uvmMethod
count summarize
syn keyword uvmClass
syn keyword uvmMethod

f_display get_id_count get_max_quit_count get_q


incr_id_count incr_quit_count incr_severity_cou
process_report report reset_quit_count reset_se
set_max_quit_count set_quit_count set_severity_
uvm_report_global_server
get_server set_server

" uvm_sv/src/base/uvm_factory.svh
syn keyword uvmClass
uvm_object_wrapper
syn keyword uvmMethod
create_component create_object get_type_name
syn keyword uvmClass
uvm_factory_override
syn keyword uvmClass
uvm_factory
syn keyword uvmMethod
create_component_by_name create_component_by_ty
pe create_object_by_name
syn keyword uvmMethod
create_object_by_type debug_create_by_name debu
g_create_by_type
syn keyword uvmMethod
find_override_by_name find_override_by_type pri
nt register set_inst_override_by_name
syn keyword uvmMethod
set_inst_override_by_type set_type_override_by_
name set_type_override_by_type
syn keyword uvmDeprecatedMethod auto_register
"syn keyword uvmDeprecatedMethod create_component create_object
syn keyword uvmDeprecatedMethod print_all_overrides
"syn keyword uvmDeprecatedMethod print_override_info set_inst_override set_type
_override
" uvm_sv/src/base/uvm_misc.svh
syn keyword uvmCompatibility
syn keyword uvmClass
syn keyword uvmClass
syn keyword uvmMethod
hierarchy set
syn keyword uvmMethod
syn keyword uvmMethodGlobal
its uvm_wait_for_nba_region

avm_virtual_class
uvm_void
uvm_scope_stack
current depth down down_element get get_arg in_
set_arg set_arg_element unset_arg up up_element
uvm_bits_to_string uvm_is_match uvm_string_to_b

" uvm_sv/src/base/uvm_object.svh
syn keyword uvmClass
uvm_status_container
syn keyword uvmMethod
get_full_scope_arg init_scope
syn keyword uvmClass
uvm_object
syn keyword uvmMethod
clone compare copy create do_compare do_copy do
_pack do_print do_record
syn keyword uvmMethod
do_sprint do_unpack get_full_name get_inst_coun
t get_inst_id get_name
syn keyword uvmMethod
get_type get_type_name pack pack_bytes pack_int
s print_field_match record
syn keyword uvmMethod
set_int_local set_name set_object_local set_str
ing_local unpack unpack_bytes unpack_ints
syn keyword uvmClass
uvm_copy_map
syn keyword uvmMethod
clear delete get set
syn keyword uvmClass
uvm_comparer
syn keyword uvmMethod
compare_field compare_field_int compare_object
compare_string init
syn keyword uvmMethod
print_msg print_msg_object print_rollup
syn keyword uvmClass
uvm_recorder

syn keyword uvmMethod


d_string record_time
syn keyword uvmClass
syn keyword uvmMethod

record_field record_generic record_object recor


uvm_options_container
init

" uvm_sv/src/base/uvm_object_globals.svh
syn keyword uvmTypeDef
uvm_bitstream_t uvm_radix_enum uvm_recursion_po
licy_enum
" uvm_sv/src/base/uvm_packer
syn keyword uvmClass
uvm_packer
syn keyword uvmMethod
enough_bits get_bit get_bits get_byte get_bytes
get_int get_ints get_packed_bits
syn keyword uvmMethod
get_packed_size index_error is_null pack_field
pack_field_int pack_object
syn keyword uvmMethod
pack_real pack_string pack_time put_bits put_by
tes put_ints reset set_packed_size
syn keyword uvmMethod
unpack_field unpack_field_int unpack_object unp
ack_object_ext unpack_real
syn keyword uvmMethod
unpack_string unpack_time
" uvm_sv/src/base/uvm_port_base.svh
syn keyword uvmTypeDef
uvm_port_type_e uvm_port_list
syn keyword uvmClass
uvm_port_component_base
syn keyword uvmMethod
get_connected_to get_provided_to is_export is_i
mp is_port
syn keyword uvmClass
uvm_port_component
syn keyword uvmMethod
do_display get_connected_to get_port get_provid
ed_to get_type_name is_port is_export
syn keyword uvmMethod
is_imp resolve_bindings
syn keyword uvmClass
uvm_port_base
syn keyword uvmMethod
connect debug_connected_to debug_provided_to ge
t_comp get_connected_to get_full_name
syn keyword uvmMethod
get_if get_name get_parent get_provided_to get_
type_name is_export is_imp is_port
syn keyword uvmMethod
is_unbounded max_size min_size resolve_bindings
set_default_index set_if size
"syn keyword uvmDeprecatedMethod do_display remove
syn keyword uvmDeprecatedMethod check_min_connection_size check_phase lookup_in
dexed_if
" uvm_sv/src/base/uvm_printer.svh
syn keyword uvmClass
uvm_printer_knobs
syn keyword uvmMethod
get_radix_str
syn keyword uvmClass
uvm_printer
syn keyword uvmMethod
indent index index_string istop print_array_foo
ter print_array_header
syn keyword uvmMethod
print_array_range print_field print_footer prin
t_generic print_header
syn keyword uvmMethod
print_id print_newline print_object print_objec
t_header print_size
syn keyword uvmMethod
print_string print_time print_type_name print_v
alue print_value_array
syn keyword uvmMethod
print_value_object print_value_string write_str
eam
syn keyword uvmClass
uvm_hier_printer_knobs
syn keyword uvmClass
uvm_table_printer_knobs
syn keyword uvmClass
uvm_table_printer
syn keyword uvmMethod
print_footer print_header print_id print_size p
rint_type_name print_value

syn keyword uvmMethod


print_value_array print_value_object print_valu
e_string
syn keyword uvmClass
uvm_tree_printer_knobs
syn keyword uvmClass
uvm_tree_printer
syn keyword uvmMethod
print_array_footer print_id print_object print_
object_header print_scope_close
syn keyword uvmMethod
print_scope_open print_string print_type_name p
rint_value_array print_value_object
syn keyword uvmClass
uvm_line_printer
syn keyword uvmMethod
print_newline
" uvm_sv/src/base/uvm_registry.svh
syn keyword uvmClass
uvm_component_registry
syn keyword uvmMethod
create create_component get get_type_name set_i
nst_override set_type_override
syn keyword uvmClass
uvm_object_registry
syn keyword uvmMethod
create create_object get get_type_name set_type
_override
" uvm_sv/src/base/uvm_report_defines.svh
syn keyword uvmTypeDef
uvm_action uvm_action_type uvm_severity uvm_sev
erity_type uvm_verbosity
syn keyword uvmTypeDef
id_actions_array id_file_array uvm_FILE s_defau
lt_action_array s_default_file_array
" uvm_sv/src/base/uvm_report_global.svh
syn keyword uvmMethodGlobal
uvm_get_max_verbosity uvm_initialize_global_rep
orter uvm_report_error
syn keyword uvmMethodGlobal
uvm_report_fatal uvm_report_info uvm_report_war
ning
" uvm_sv/src/base/uvm_report_handler.svh
syn keyword uvmClass
uvm_hash
syn keyword uvmMethod
exists fetch first get next set
syn keyword uvmClass
uvm_report_handler
syn keyword uvmMethod
dump_state format_action get_action get_file_ha
ndle get_server get_verbosity_level
syn keyword uvmMethod
initialize report report_header run_hooks set_d
efault_file set_defaults
syn keyword uvmMethod
set_id_action set_id_file set_max_quit_count se
t_severity_action set_severity_file
syn keyword uvmMethod
set_severity_id_action set_severity_id_file set
_verbosity_level summarize
syn keyword uvmClass
default_report_server
syn keyword uvmMethod
get_server
" uvm_sv/src/base/uvm_report_object.svh
syn keyword uvmClass
uvm_report_object
syn keyword uvmMethod
die dump_report_state get_report_handler get_re
port_server uvm_get_max_verbosity
syn keyword uvmMethod
uvm_report_error uvm_report_fatal uvm_report_in
fo uvm_report_warning
syn keyword uvmMethod
report_error_hook report_fatal_hook report_head
er report_hook report_info_hook
syn keyword uvmMethod
report_summarize report_warning_hook reset_repo
rt_handler set_report_default_file
syn keyword uvmMethod
set_report_handler set_report_id_action set_rep
ort_id_file set_report_max_quit_count
syn keyword uvmMethod
set_report_severity_action set_report_severity_
file set_report_severity_id_action

syn keyword uvmMethod


y_level
"syn keyword uvmDeprecatedMethod
essage avm_report_warning
syn keyword uvmClass
syn keyword uvmMethod

set_report_severity_id_file set_report_verbosit
avm_report_error avm_report_fatal avm_report_m
uvm_reporter
create get_type_name

" uvm_sv/src/base/uvm_report_server.svh
syn keyword uvmClass
uvm_report_server
syn keyword uvmMethod
compose_message copy_id_counts copy_severity_co
unts dump_server_state
syn keyword uvmMethod
f_display get_id_count get_max_quit_count get_q
uit_count get_severity_count
syn keyword uvmMethod
incr_id_count incr_quit_count incr_severity_cou
nt is_quit_count_reached
syn keyword uvmMethod
process_report report reset_quit_count reset_se
verity_counts set_id_count
syn keyword uvmMethod
set_max_quit_count set_quit_count set_severity_
count summarize
syn keyword uvmClass
uvm_report_global_server
syn keyword uvmMethod
get_server set_server
" uvm_sv/src/base/uvm_root.svh
syn keyword uvmClass
syn keyword uvmMethod
y_name get_type_name
syn keyword uvmMethod
uest
syn keyword uvmDeprecatedClass
syn keyword uvmDeprecatedMethod
_units
syn keyword uvmMethodGlobal
t_topology run_test
syn keyword uvmMethodGlobal

uvm_root
find find_all get get_current_phase get_phase_b
insert_phase run_global_phase run_test stop_req
uvm_test_top
print_topology print_unit print_unit_list print
global_stop_request uvm_find_component uvm_prin
set_global_stop_timeout set_global_timeout

" uvm_sv/src/base/uvm_transaction.svh
syn keyword uvmClass
uvm_transaction
syn keyword uvmMethod
accept_tr begin_child_tr begin_tr convert2strin
g disable_recording
syn keyword uvmMethod
do_accept_tr do_begin_tr do_copy do_end_tr do_p
rint do_record
syn keyword uvmMethod
enable_recording end_tr get_accept_time get_beg
in_time get_end_time
syn keyword uvmMethod
get_event_pool get_initiator get_tr_handle get_
transaction_id is_active
syn keyword uvmMethod
is_recording_enabled m_begin_tr set_initiator s
et_transaction_id
" uvm_sv/src/base/uvm_version.svh
syn keyword uvmMethodGlobal
uvm_revision_string
"------------------------------------------------------------------------------" uvm_sv/src/base/compatibility/
"------------------------------------------------------------------------------" uvm_sv/src/base/compatibility/avm_compatibility.svh
syn keyword uvmCompatibility
avm_env avm_named_component avm_report_client a
vm_report_handler
syn keyword uvmCompatibility
avm_report_server avm_reporter avm_threaded_com
ponent avm_transaction
syn keyword uvmCompatibility
action action_type severity

syn keyword uvmCompatibility


syn keyword uvmCompatibility
lt_in_pair
syn keyword uvmCompatibility
rder_class_comparator
syn keyword uvmCompatibility
c_comparator
syn keyword uvmCompatibility
ssage avm_report_warning
syn keyword uvmCompatibility
p avm_port_base

uvm_named_component uvm_report_client
avm_transport_port avm_transport_export avm_bui
avm_class_pair avm_in_order_comparator avm_in_o
avm_in_order_built_in_comparator avm_algorithmi
avm_report_error avm_report_fatal avm_report_me
analysis_fifo avm_transport_imp avm_analysis_im

" uvm_sv/src/base/compatibility/base_compatibility.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/compatibility.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/urm.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/urm_compatibility.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/urm_macro_compatibility.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/urm_message.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/urm_message_compatibility.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/urm_message_defines.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/urm_meth_compatibility.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/urm_port_compatibility.svh
"syn keyword uvmClass
"syn keyword uvmMethod
" uvm_sv/src/base/compatibility/urm_type_compatibility.svh
"syn keyword uvmClass
"syn keyword uvmMethod
"------------------------------------------------------------------------------" uvm_sv/src/methodology/
"------------------------------------------------------------------------------" uvm_sv/src/methodology/methodology.svh

syn keyword uvmTypeDef


pe
syn keyword uvmTypeDef
quencer_type

uvm_default_driver_type uvm_default_sequence_ty
uvm_default_sequencer_param_type uvm_default_se

" uvm_sv/src/methodology/methodology_noparm.svh
" uvm_sv/src/methodology/uvm_agent.svh
syn keyword uvmClass
uvm_agent
syn keyword uvmMethod
run
" uvm_sv/src/methodology/uvm_algorithmic_comparator.svh
syn keyword uvmClass
uvm_algorithmic_comparator
syn keyword uvmMethod
connect get_type_name write
" uvm_sv/src/methodology/uvm_driver.svh
syn keyword uvmClass
uvm_driver
syn keyword uvmMethod
run
" uvm_sv/src/methodology/uvm_in_order_comparator.svh
syn keyword uvmClass
uvm_in_order_comparator
syn keyword uvmMethod
connect flush get_type_name run
syn keyword uvmClass
uvm_in_order_built_in_comparator
syn keyword uvmMethod
get_type_name
syn keyword uvmClass
uvm_in_order_class_comparator
syn keyword uvmMethod
get_type_name
" uvm_sv/src/methodology/uvm_meth_defines.svh
syn keyword uvmTypeDef
uvm_active_passive_enum
" uvm_sv/src/methodology/uvm_monitor.svh
syn keyword uvmClass
uvm_monitor
" uvm_sv/src/methodology/uvm_pair.svh
syn keyword uvmClass
uvm_class_pair
syn keyword uvmMethod
clone comp convert2string copy create get_type_
name
syn keyword uvmClass
uvm_built_in_pair
syn keyword uvmMethod
clone comp convert2string copy create get_type_
name
" uvm_sv/src/methodology/uvm_policies.svh
syn keyword uvmClass
uvm_built_in_comp
syn keyword uvmMethod
comp
syn keyword uvmClass
uvm_built_in_converter
syn keyword uvmMethod
convert2string
syn keyword uvmClass
uvm_built_in_clone
syn keyword uvmMethod
clone
syn keyword uvmClass
uvm_class_comp
syn keyword uvmMethod
comp
syn keyword uvmClass
uvm_class_converter
syn keyword uvmMethod
convert2string
syn keyword uvmClass
uvm_class_clone
syn keyword uvmMethod
clone
" uvm_sv/src/methodology/uvm_push_driver.svh
syn keyword uvmClass
uvm_push_driver
syn keyword uvmMethod
build check_port_connections end_of_elaboration
" uvm_sv/src/methodology/uvm_random_stimulus.svh

syn keyword uvmClass


syn keyword uvmMethod
eneration

uvm_random_stimulus
generate_stimulus get_type_name stop_stimulus_g

" uvm_sv/src/methodology/uvm_scoreboard.svh
syn keyword uvmClass
uvm_scoreboard
syn keyword uvmMethod
run
" uvm_sv/src/methodology/uvm_subscriber.svh
syn keyword uvmClass
uvm_subscriber
syn keyword uvmMethod
write
" uvm_sv/src/methodology/uvm_test.svh
syn keyword uvmClass
uvm_test
syn keyword uvmMethod
run
"------------------------------------------------------------------------------" uvm_sv/src/methodology/layered_stimulus/
"------------------------------------------------------------------------------" uvm_sv/src/methodology/layered_stimulus/uvm_layered_stimulus.svh
" uvm_sv/src/methodology/layered_stimulus/uvm_scenario.svh
syn keyword uvmClass
uvm_scenario
syn keyword uvmMethod
apply apply_request apply_send get_id get_scena
rio_path_name
syn keyword uvmMethod
mid_apply post_apply pre_apply pre_body start
" uvm_sv/src/methodology/layered_stimulus/uvm_scenario_controller.svh
syn keyword uvmClass
uvm_scenario_controller
syn keyword uvmMethod
apply apply_request apply_send
" uvm_sv/src/methodology/layered_stimulus/uvm_scenario_driver.svh
syn keyword uvmClass
uvm_scenario_driver
syn keyword uvmMethod
end_of_elaboration get_next_item run set_scenar
io_controller
"------------------------------------------------------------------------------" uvm_sv/src/methodology/sequences/
"------------------------------------------------------------------------------" uvm_sv/src/methodology/sequences/uvm_push_sequencer.svh
syn keyword uvmClass
uvm_push_sequencer
syn keyword uvmMethod
run
" uvm_sv/src/methodology/sequences/uvm_req_rsp_sequence.svh
syn keyword uvmClass
uvm_req_rsp_sequence
syn keyword uvmMethod
apply
" uvm_sv/src/methodology/sequences/uvm_req_rsp_sequence.svh
syn keyword uvmClass
uvm_sequence
syn keyword uvmMethod
do_print start send_request get_current_item ge
t_response put_response
syn keyword uvmMethod
set_sequencer set_response_queue_error_report_d
isabled
syn keyword uvmMethod
get_response_queue_error_report_disabled set_re
sponse_queue_depth get_response_queue_depth
" uvm_sv/src/methodology/sequences/uvm_sequence_base.svh
syn keyword uvmClass
uvm_sequence_base
syn keyword uvmTypeDef
uvm_sequence_state_enum
syn keyword uvmMethod
body create_and_start_sequence_by_name create_i

tem do_sequence_kind get get_priority


syn keyword uvmMethod
get_seq_kind get_sequence get_sequence_by_name
get_sequence_state get_sequencer
syn keyword uvmMethod
get_use_response_handler grab is_blocked is_ite
m is_relevant kill m_finish_item
syn keyword uvmMethod
m_get_sqr_sequence_id m_start_item mid_do num_s
equences post_body post_do pre_body pre_do
syn keyword uvmMethod
response_handler send_request set_priority set_
sequencer stop ungrab unlock use_response_handler
syn keyword uvmMethod
wait_for_grant wait_for_item_done wait_for_rele
vant wait_for_sequence_state
"syn keyword uvmCompatibility
get_id pre_apply mid_apply post_apply
syn keyword uvmCompatibility
get_parent_scenario
" uvm_sv/src/methodology/sequences/uvm_sequence_builtin.svh
syn keyword uvmClass
uvm_random_sequence
syn keyword uvmMethod
body do_copy do_compare do_print do_record cre
ate get_type_name
syn keyword uvmClass
uvm_exhaustive_sequence
syn keyword uvmMethod
body do_copy do_compare do_print do_record cre
ate get_type_name
syn keyword uvmClass
uvm_simple_sequence
syn keyword uvmMethod
body create get_type_name
" uvm_sv/src/methodology/sequences/uvm_sequence_item.svh
syn keyword uvmClass
uvm_sequence_item
syn keyword uvmMethod
set_sequence_id get_sequence_id set_use_sequenc
e_info get_use_sequence_info set_id_info set_sequencer
syn keyword uvmMethod
get_sequencer set_parent_sequence get_parent_se
quence set_depth get_depth is_item start_item finish_item
syn keyword uvmMethod
m_start_item m_finish_item get_full_name get_ro
ot_sequence_name get_root_sequence get_sequence_path
syn keyword uvmMethod
do_print
"syn keyword uvmDeprecated
set_parent_seq get_parent_seq pre_do body mid_
do post_do wait_for_grant send_request wait_for_item_done
" uvm_sv/src/methodology/sequences/uvm_sequencer.svh
syn keyword uvmClass
uvm_sequencer
syn keyword uvmTypeDef
uvm_seq_item_prod_if
syn keyword uvmMethod
get_type_name connect build end_of_elaboration
send_request get_next_item try_next_item item_done put get peek
syn keyword uvmMethod
m_add_builtin_seqs item_done_trigger item_done_
get_trigger_data add_seq_cons_if
syn keyword uvmTypeDef
uvm_virtual_sequencer
syn keyword uvmDeprecatedClass uvm_seq_prod_if
" uvm_sv/src/methodology/sequences/uvm_sequencer_analysis_fifo.svh
syn keyword uvmClass
sequencer_analysis_fifo
syn keyword uvmMethod
write
" uvm_sv/src/methodology/sequences/uvm_sequencer_base.svh
syn keyword uvmClass
seq_req_class
syn keyword uvmClass
uvm_sequencer_base
syn keyword uvmMethod
do_print user_priority_arbitration grant_queue
d_locks choose_next_request wait_for_available_sequence
syn keyword uvmMethod
get_seq_item_priority wait_for_arbitration_com
pleted set_arbitration_completed is_child wait_for_grant
syn keyword uvmMethod
wait_for_item_done is_blocked is_locked lock_r
eq unlock_req lock grab unlock ungrab remove_sequence_from_queues
syn keyword uvmMethod
stop_sequences sequence_exiting kill_sequence

is_grabbed current_grabber has_do_available set_arbitration


syn keyword uvmMethod
analysis_write wait_for_sequences add_sequence
remove_sequence set_sequences_queue get_seq_kind get_sequence
syn keyword uvmMethod
num_sequences send_request
syn keyword uvmDeprecated
start_sequence
" uvm_sv/src/methodology/sequences/uvm_sequencer_param_base.svh
syn keyword uvmClass
uvm_sequencer_param_base
syn keyword uvmMethod
do_print connect build send_request get_current
_item put_response analysis_write start_default_sequence run
syn keyword uvmMethod
get_num_reqs_sent get_num_rsps_received set_num
_last_reqs get_num_last_reqs last_req set_num_last_rsps
syn keyword uvmMethod
get_num_last_rsps last_rsp execute_item
syn keyword uvmCompatibility
set_num_last_items
"syn keyword uvmCompatibility
last
"------------------------------------------------------------------------------" uvm_sv/src/uvm_tlm
"------------------------------------------------------------------------------" uvm_sv/src/uvm_tlm/uvm_exports.svh
syn keyword uvmClass
uvm_blocking_get_export uvm_blocking_get_peek_e
xport uvm_blocking_master_export
syn keyword uvmClass
uvm_blocking_peek_export uvm_blocking_put_expor
t uvm_blocking_slave_export
syn keyword uvmClass
uvm_blocking_transport_export uvm_get_export uv
m_get_peek_export
syn keyword uvmClass
uvm_master_export uvm_nonblocking_get_export uv
m_nonblocking_get_peek_export
syn keyword uvmClass
uvm_nonblocking_master_export uvm_nonblocking_p
eek_export
syn keyword uvmClass
uvm_nonblocking_put_export uvm_nonblocking_slav
e_export
syn keyword uvmClass
uvm_nonblocking_transport_export uvm_peek_expor
t uvm_put_export
syn keyword uvmClass
uvm_slave_export uvm_transport_export
syn keyword uvmClass
uvm_analysis_export
syn keyword uvmMethod
get_type_name
" uvm_sv/src/uvm_tlm/uvm_imps.svh
syn keyword uvmClass
uvm_blocking_get_imp uvm_blocking_get_peek_imp
uvm_blocking_master_imp
syn keyword uvmClass
uvm_blocking_peek_imp uvm_blocking_put_imp uvm_
blocking_slave_imp
syn keyword uvmClass
uvm_blocking_transport_imp uvm_get_imp uvm_get_
peek_imp uvm_master_imp
syn keyword uvmClass
uvm_nonblocking_get_imp uvm_nonblocking_get_pee
k_imp
syn keyword uvmClass
uvm_nonblocking_master_imp uvm_nonblocking_peek
_imp
syn keyword uvmClass
uvm_nonblocking_put_imp uvm_nonblocking_slave_i
mp uvm_nonblocking_transport_imp
syn keyword uvmClass
uvm_peek_imp uvm_put_imp uvm_slave_imp uvm_tran
sport_imp
syn keyword uvmClass
uvm_analysis_imp
syn keyword uvmMethod
writw
" uvm_sv/src/uvm_tlm/uvm_ports.svh
syn keyword uvmClass
uvm_analysis_port uvm_blocking_get_peek_port uv
m_blocking_get_port
syn keyword uvmClass
uvm_blocking_master_port uvm_blocking_peek_port

uvm_blocking_put_port
syn keyword uvmClass
uvm_blocking_slave_port uvm_blocking_transport_
port uvm_get_peek_port
syn keyword uvmClass
uvm_get_port uvm_master_port uvm_nonblocking_ge
t_peek_port uvm_nonblocking_get_port
syn keyword uvmClass
uvm_nonblocking_master_port uvm_nonblocking_pee
k_port uvm_nonblocking_put_port
syn keyword uvmClass
uvm_nonblocking_slave_port uvm_nonblocking_tran
sport_port uvm_peek_port
syn keyword uvmClass
uvm_put_port uvm_slave_port uvm_transport_port
syn keyword uvmClass
uvm_analysis_port
syn keyword uvmMethod
get_type_name write
" uvm_sv/src/uvm_tlm/sqr_connections.svh
syn keyword uvmClass
uvm_seq_item_pull_port
syn keyword uvmMethod
connect_if
syn keyword uvmMethod
get get_next_item has_do_available peek put put
_response try_next_item
syn keyword uvmMethod
item_done wait_for_sequences
syn keyword uvmClass
uvm_seq_item_pull_export
syn keyword uvmMethod
get get_next_item has_do_available peek put put
_response try_next_item
syn keyword uvmMethod
item_done wait_for_sequences
syn keyword uvmClass
uvm_seq_item_pull_imp
syn keyword uvmMethod
get get_next_item has_do_available peek put put
_response try_next_item
syn keyword uvmMethod
item_done wait_for_sequences
" uvm_sv/src/uvm_tlm/sqr_ifs.svh
syn keyword uvmClass
sqr_if_base
syn keyword uvmMethod
get get_next_item has_do_available item_done pe
ek put put_response try_next_item wait_for_sequences
" uvm_sv/src/uvm_tlm/uvm_tlm.svh
" uvm_sv/src/uvm_tlm/uvm_tlm_fifo_base.svh
syn keyword uvmClass
uvm_tlm_event
syn keyword uvmClass
uvm_tlm_fifo_base
syn keyword uvmMethod
can_get can_peek can_put flush get is_empty ok_
to_get ok_to_peek
syn keyword uvmMethod
ok_to_put peek put size try_get try_peek try_pu
t used
" uvm_sv/src/uvm_tlm/uvm_tlm_fifos.svh
syn keyword uvmClass
uvm_tlm_fifo
syn keyword uvmMethod
can_get can_peek can_put flush get get_type_nam
e is_empty
syn keyword uvmMethod
is_full peek put size try_get try_peek try_put
used
syn keyword uvmClass
uvm_tlm_analysis_fifo
syn keyword uvmMethod
get_type_name write
" uvm_sv/src/uvm_tlm/uvm_tlm_ifs.svh
syn keyword uvmClass
uvm_tlm_if_base
syn keyword uvmMethod
can_get can_peek can_put get nb_transport peek
put
syn keyword uvmMethod
transport try_get try_peek try_put write
" uvm_sv/src/uvm_tlm/uvm_tlm_imps.svh

" uvm_sv/src/uvm_tlm/uvm_tlm_req_rsp.svh
syn keyword uvmClass
uvm_tlm_req_rsp_channel
syn keyword uvmMethod
create create_aliased_exports connect get_type_
name
syn keyword uvmClass
uvm_tlm_transport_channel
syn keyword uvmMethod
nb_transport transport
" Define the default highlighting.
" For version 5.7 and earlier: only when not done already
" For version 5.8 and later: only when an item doesn't have highlighting yet
if version >= 508 || !exists("did_verilog_syn_inits")
if version < 508
let did_verilog_syn_inits = 1
command -nargs=+ HiLink hi link <args>
else
command -nargs=+ HiLink hi def link <args>
endif
" The default highlighting.
HiLink verilogMethod
HiLink verilogTypeDef
HiLink verilogAssertion

Function
TypeDef
Include

HiLink
HiLink
HiLink
HiLink
HiLink
HiLink
HiLink
HiLink

Type
Type
Function
Function
Error
Error
Error
Underlined

uvmClass
uvmTypeDef
uvmMethod
uvmMethodGlobal
uvmDeprecated
uvmDeprecatedClass
uvmDeprecatedMethod
uvmCompatibility

delcommand HiLink
endif
let b:current_syntax = "verilog_systemverilog"
" vim: ts=8

You might also like