Professional Documents
Culture Documents
DECLARACION DE ENTIDADES
2.4- Describa el significado de una entidad y cual es su palabra
reservada
a) El nombre de la
entidad seleccion
b) Los puertos de entrada
x con un vector de 0 a 3
c) Los puerto de salida
Es f
d) El tipo de dato
Std_logic (estandar logico)
2.6- Seale cual de los siguientes indicadores son correctos o
incorrectos, colocando en la lines de respuesta la letra C o I
respectivamente
1logico I desp_laza C
con_control C N_ivel I
pagina C Architecture c
registro C S_uma# I
2suma I Res__ta I
2.7- Declare la entidad para la compuerta AND de ejercicio 2.7:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
a,b: in STD_LOGIC;
c: out STD_LOGIC);
end gate_and;
2.8.- Declare la entidad para el siguiente circuito
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity DEMO_1 is port(
a,b: in STD_LOGIC;
end demo_1;
2.9- Declare la entidad para el circuito que se muestra en la figura.
Utilice vectores
library IEEE;
use IEEE.STD_LOGIC_1164.all;
end promedio1;
2.10- Declare la entidad para el siguiente circuito que utiliza
vectores
library IEEE;
use IEEE.STD_LOGIC_1164.all;
end circuito1;
use ieee.std_logic_1164.all;
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity mux41 is port(
F: out STD_LOGIC);
end circuito1;
use IEEE.STD_LOGIC_1164.all;
F: out STD_LOGIC);
end circuito1;
library ieee;
use std_logic_1164.all;
entity multiplicador is
port (x0,x1,y0,y1: in std_logic_vector (3 downto
0); z0,z1,z2,z3: out std_logic_vector (3downto
0)); End multiplicador