You are on page 1of 13

02/12/2017

9-12 Barramento de dados

Nos computadores, a transferncia de dados ocorre em um grupo comum de


linhas de conexo chamado barramento de dados.
Dispositivos conectados ao barramento de dados tero geralmente sadas
Captulo 12 tristate ou sero conectados ao barramento de dados por buffers tristate.
Dispositivos frequentemente conectados a um barramento de dados:
Microprocessadores.
Dispositivos de CIs de memria semicondutora.
Memria Conversores digital-analgicos (DACs) e analgico-digitais (ADCs).

9-12 Barramento de dados 9-13 O registrador tristate 74ALS173/HC173


Os dispositivos conectados a um barramento de dados possuem registradores
(geralmente flip-flops) que armazenam os dados do dispositivo.

Trs dispositivos diferentes As sadas desses registradores geralmente so conectadas em buffers tristate,
podem transmitir oito bits permitindo que sejam conectadas a um barramento de dados.
de dados, atravs de um
barramento de dados de
oito linhas para um
microprocessador.

Apenas um dispositivo
habilitado de cada vez,
para que a conteno de
barramento seja evitada.

9-14 Operao de barramento de dados 9-14 Operao de barramento de dados


Registradores tristate conectados a um
barramento de dados. O diagrama de tempo mostra vrios sinais envolvidos na transferncia dos dados
1011 do registrador A para o registrador C.
O contedo de qualquer um dos trs
registradores pode ser transferido em
paralelo atravs do barramento de dados
para um dos outros registradores por
meio da aplicao apropriada de nveis
lgicos nas entradas de habilitao dos
registradores.

1
02/12/2017

9-14 Operao de barramento de dados


9-14 Operao de barramento de dados
Representao simplificada das conexes
de um barramento.
Os fabricantes tm desenvolvido CIs que conectam entradas e sadas
internamente para reduzir o nmero de pinos e conexes ao barramento.

Cada linha de I/O ir


funcionar tanto como
entrada quanto como
sada, dependendo dos
estados das entradas de
habilitao. So
denominadas linhas
bidirecionais de dados.

Maneira simplificada de demonstrar atividade


do sinal nas linhas do barramento de dados.

12-1 Terminologia de Memrias


Memrias semicondutoras so usadas como memria principal de um computador
quando a velocidade de operao importante.

12-1 Terminologia de Memrias

Dados digitais podem ser armazenados como cargas em capacitores:


RAM e ROM formam a
- Um tipo importante de memria semicondutora age assim para memria principal.
armazenamento de alta densidade com requisitos de consumo de
energia baixo.

A memria principal do computador - sua memria de trabalho - est


em constante comunicao com a unidade central de processamento
(CPU) conforme um programa de instrues executado.

12-1 Terminologia de Memrias


12-1 Terminologia de Memrias
Outra forma de armazenamento realizada pela memria auxiliar, separada da memria
principal. Clula de memria: um dispositivo ou um circuito eltrico usado para
armazenar um nico bit (0 ou 1).
Tambm chamada Exemplos: flip-flops, capacitores carregados, ou uma nica rea em uma fita
memria de massa, possui ou disco magnticos.
capacidade
para armazenar quantidades Palavra de memria: um grupo de bits (clulas) na memria que representa
grandes de dados instrues ou dados.
sem necessidade O tamanho da palavra nos computadores varia tipicamente entre 8 e 64
de estar energizada. bits, dependendo no tamanho do computador.
Byte: um termo especial usado para um grupo de oito bits.
Capacidade: uma forma de especificar quantos bits podem ser armazenados
em um dispositivo ou sistema de memria.
Dispositivos comuns de memria Capacidade (bits) = Palavras x bits por palavra (tamanho da palavra)
auxiliar so o disco magntico e o CD, 4.096 x 20
acessado por meios ticos.

2
02/12/2017

12-1 Terminologia de Memrias


12-1 Terminologia de Memrias
Endereo: um nmero que identifica a localizao de uma palavra na
Densidade: outro termo para capacidade.
memria.
Um dispositivo de memria com maior densidade pode armazenar mais bits
em uma mesma rea espacial. - Os endereos sempre existem em um sistema digital como um nmero
binrio, embora nmeros octais, hexadecimais e decimais sejam usados para
representar o endereo de forma mais conveniente.
Endereos
Pequena memria com oito
palavras: 000 Palavra 0

Cada uma dessas oito palavras 001 Palavra 1


possui um endereo especfico 010 Palavra 2
representado como um nmero de
011 Palavra 3
trs bits de 000 a 111.
100 Palavra 4
Para referenciar uma localizao de 101 Palavra 5
palavra especfica na memria,
110 Palavra 6
utiliza-se o cdigo de endereo para
identific-la. 111 Palavra 7

12-1 Terminologia de Memrias 12-1 Terminologia de Memrias

Operao de leitura: a palavra binria armazenada em uma localizao de


Memria voltil: qualquer memria que precisa da aplicao de energia eltrica
memria especfica (endereo) enviada e transferida a outro dispositivo.
para armazenar informao.
chamada de operao de busca, pois uma palavra est sendo obtida da
Se a energia eltrica retirada, toda informao armazenada na memria
memria.
ser perdida.
Operao de escrita: operao onde uma palavra nova colocada em uma
Memria de acesso aleatrio (random-access memory - RAM): aquela na qual a
localizao de memria em particular.
localizao fsica atual de uma palavra no tem efeito no tempo gasto para ler ou
Tambm chamada de operao de armazenamento, substitui a palavra escrever nessa posio.
que estava previamente armazenada na localizao.
O tempo de acesso o mesmo para qualquer endereo na memria.
Tempo de acesso: medida da velocidade do dispositivo de memria, o tempo A maioria das memrias semicondutoras so RAMs.
entre o recebimento da memria de uma nova entrada de endereo e a
disponibilidade dos dados na sada.

12-1 Terminologia de Memrias


12-1 Terminologia de Memrias
Dispositivos de memria esttica: dispositivos de memria semicondutora
nos quais os dados ficam armazenados permanentemente, enquanto houver
Memria de acesso sequencial (sequential-access memory - SAM): tipo de energia, sem a necessidade de reescrever os dados na memria
memria na qual o tempo de acesso no constante, variando de acordo com periodicamente.
o endereo de localizao. Dispositivos de memria dinmica: memria semicondutora na qual os dados
- Uma palavra armazenada encontrada por sequenciamento atravs de armazenados no ficam armazenados permanentemente, mesmo
todas as localizaes de memria at que o endereo desejado seja energizados, a menos que os dados sejam periodicamente reescritos na
alcanado. Tempo de acesso muito maior do que das memrias de acesso memria (refresh).
aleatrio. Memria principal: A memria de trabalho de um computador.
Memria de leitura e escrita (read/write memory - RWM): qualquer Armazena instrues e dados que esto sendo utilizados pela CPU.
memria que pode tanto ser lida como escrita, com a mesma facilidade. Memria cache: bloco de memria de alta velocidade que opera entre a
Memria apenas de leitura (read-only memory - ROM): ampla classe de memria principal, mais lenta, e a CPU, para otimizar a velocidade do
computador.
memrias semicondutoras destinadas a aplicaes com um alto ndice de
operaes de leitura em relao a operaes de escrita. Fisicamente localizada na CPU, na placa me, ou em ambos.
Memria auxiliar: chamada de memria de massa por armazenar grandes
quantidades de informao externa memria principal.
mais lenta que a memria principal e sempre no voltil.

3
02/12/2017

Diagrama lgico de uma


memria 4 X 3
12-2 Princpios de Operao da Memria

Todo sistema de memria precisa de linhas de entrada e de sada para:


A1A0 I2 I1 I0
Aplicar o endereo binrio da localizao de memria acessada. 00 0 0 0
Ativar dispositivos de memria para responder s entradas de controle.
Colocar os dados armazenados no endereo especificado. 01 1 0 1
Em operaes de leitura, habilitar as sadas tristate, que aplicam os dados 10 1 1 1
aos pinos de sada.
Em operaes de escrita, aplicar os dados a serem armazenados nos pinos 11 0 0 1
de entrada de dados.
Ativar a operao de escrita, o que faz com que os dados sejam
armazenados na localizao especificada.
Desativar os controles de leitura ou escrita quando terminar de ler ou
gravar e desativar o CI de memria.

20

12-2 Princpios de Operao da Memria 12-2 Princpios de Operao da Memria

Diagrama de uma memria 32 x 4 e arranjo virtual das clulas de memria em 32 Diagrama de uma memria 32 x 4 e arranjo virtual das clulas de memria em 32
palavras de quatro bits: palavras de quatro bits:

Devido a armazenar 32
palavras, ela tem 32
localizaes de
armazenamento diferentes e
32 endereos binrios
diferentes,
de 00000 a 11111
(0 a 31 em decimais).

H cinco entradas para


endereo: A0 a A4.

12-2 Princpios de Operao da Memria 12-2 Princpios de Operao da Memria

Diagrama de uma memria 32 x 4 e arranjo virtual das clulas de memria em 32 Diagrama de uma memria 32 x 4 e arranjo virtual das clulas de memria em 32
palavras de quatro bits: palavras de quatro bits:

Para acessar um local de A entrada WE (leitura-escrita)


memria para ler ou escrever, ativada para permitir
o cdigo de cinco bits de memria gravar dados.
endereo aplicado s A barra sobre WE indica que a
entradas de endereo. operao de escrita ocorre
quando WE = 0.
Em geral, N entradas de
endereo so necessrias para
uma memria com uma
capacidade de palavras 2N.

4
02/12/2017

12-2 Princpios de Operao da Memria 12-3 Conexes CPU-Memria


A memria principal interfaceada com a CPU atravs dos barramento de endereo, de
Diagrama de uma memria 32 x 4 e arranjo virtual das clulas de memria em 32 dados e de controle.
palavras de quatro bits:

O pino OE ativado para


ativar o buffer tristate e
desativado para colocar os
buffers no estado de alta
impedncia (hi-Z).
Um sinal de controle
conectado ao OE s ativado
quando o barramento est
pronto para receber dados da
memria.

Os trs barramentos so necessrios para permitir que a CPU


escreva e leia dados na memria.

12-3 Conexes CPU-Memria 12-3 Conexes CPU-Memria

Processo de operao de escrita: Processo de operao de leitura:


A CPU fornece o endereo binrio da localizao de memria onde os dados A CPU fornece o endereo binrio da localizao de memria da qual os dados
devero ser armazenados e o coloca nas linhas do barramento de endereo. sero recuperados e o coloca nas linhas do barramento de endereo.
Um decodificador de endereo ativa a entrada enable do dispositivo de Um decodificador de endereo ativa a entrada enable do dispositivo de
memria (CE ou CS). memria (CE ou CS).
A CPU coloca os dados a serem armazenados nas linhas do barramento. A CPU ativa as linhas de controle apropriadas para a operao de leitura de
A CPU ativa as linhas de sinal de controle apropriadas para a operao de memria, que geralmente ficam conectadas ao CI de memria.
gravao de memria. Os CIs de memria decodificam internamente o endereo binrio para
Os CIs de memria decodificam internamente o endereo binrio para determinar a localizao que est sendo selecionada para leitura.
determinar a localizao selecionada para a operao de armazenamento.
Eles colocam os dados da localizao de memria no barramento de dados,
Os dados no barramento de dados so transferidos para a localizao de do qual eles so transferidos para A CPU.
memria selecionada.

12-3 Conexes CPU-Memria 12-4 Memria Apenas de Leitura (ROM)

Funo de cada barramento do sistema:


So memrias semicondutoras destinadas a manter dados que so
permanentes ou que no iro mudar com frequncia.
Barramento de Endereo - unidirecional e carrega as sadas de endereo
binrio da CPU para os CIs de memria, para selecionar um local de Certas ROMs no podem ter seus dados alterados aps serem programados,
memria. outras podem ser apagadas e reprogramadas tanto quanto for desejado.
O processo de entrada de dados chamado de programao da ROM,
ou queima da ROM.
Barramento de dados - barramento bidirecional que carrega dados entre a
CPU e os CIs de memria. Um dos principais usos de ROMs para armazenar programas em
microcomputadores.
Como as ROMs so no volteis, os programas no so perdidos quando
Barramento de controle - carrega os sinais de controle (RD ou WR) da CPU a eletricidade desligada.
para os CIs de memria.

5
02/12/2017

12-4 Memria Apenas de Leitura


12-4 Memria Apenas de Leitura

ROM Tpica
Para leitura de uma palavra de dados de uma ROM necessrio:

Aplicar as entradas de endereo apropriadas.


Ativar as entradas de controle.

12-5 Arquitetura da ROM


12-5 Arquitetura da ROM
Arquitetura de uma ROM 16 x 8 - cada registro armazena uma palavra de 8 bits:

A arquitetura interna (estrutura) de um CI ROM complexa, mas possui


quatro partes bsicas:
Matriz de Registradores: armazena os dados programados na ROM, sendo
que cada registrador contm vrias clulas de memria em quantidade igual
ao tamanho da palavra.
Decodificadores de Endereo (de linha e de coluna): apenas um registrador
estar na linha e coluna selecionada pelas entradas de endereo e ser
ativado.
Buffers de sada: transferem os dados para sadas de dados externas.
O registrador ativado pelas entradas de endereo colocar seus
dados no barramento de dados.
Esses dados so alimentados nos buffers de sada, os quais passaro
os dados para as sadas de dados externas.

12-5 Arquitetura da ROM


12-6 Temporizao da ROM
Existe um atraso de propagao entre a aplicao das entradas de uma ROM e a
apario das sadas de dados durante a operao de leitura.

Chamado tempo de
acesso (tACC), o atraso
uma medida da
velocidade de operao
da ROM.

6
02/12/2017

12-6 Temporizao da ROM 12-7 Tipos de ROMs


ROMs programadas por mscara (MROM) possuem dados programados
Existe um atraso de propagao entre a aplicao das entradas de uma ROM e a durante a fabricao do CI.
apario das sadas de dados durante a operao de leitura.

Outro parmetro de As ROMs so feitas


temporizao de uma matriz
importante o tempo de retangular de
habilitao de sada (tOE),
transistores.
o atraso entre a entrada
e a sada vlida de A informao
dados. armazenada pela
Valores para tOE so conexo ou
sempre mais curtos que desconexo da fonte
o tempo de acesso. de um transistor
coluna de sada.

12-7 Tipos de ROMs 12-7 Tipos de ROMs


ROMs programadas por mscara (MROM) possuem dados programados ROMs programadas por mscara (MROM) possuem dados programados
durante a fabricao do CI. durante a fabricao do CI.

muito precisa, cara e


O ltimo passo na tem de ser feita para o
fabricao formar cliente com a
todos esses caminhos informao binria
condutores ou correta, s sendo
conexes. vivel
O processo usa uma economicamente
mscara para quando muitas ROMs
depositar metais no estiverem sendo
silcio que determina fabricadas com a
onde as conexes iro mesma informao.
se formar.

12-7 Tipos de ROMs


12-7 Tipos de ROMs
Uma EPROM (erasable programmable ROM) pode ser programada pelo usurio,
Para aplicaes de menor volume, conexes a fusvel PROMs programveis apagada e reprogramada tanto quanto for desejado.
pelo usurio esto disponveis. - Uma vez programada, ela se torna uma memria no voltil, que ir manter seus
dados armazenados indefinidamente.
- As memrias so programveis sob medida pelo usurio e no podem ser
apagadas ou reprogramadas.

Uma luz UV usada para apagar os dados do dispositivo.

Se o programa na PROM estiver


errado ou tiver de ser alterado,
essa PROM ter de ser jogada fora.
Por isso, esses dispositivos so
frequentemente chamados de
ROMs programveis apenas uma vez
(one time programmable - OTP).

7
02/12/2017

12-7 Tipos de ROMs


12-7 Tipos de ROMs

A principal caracterstica da PROM apagvel eletricamente


(electrically erasable PROM - EEPROM) a de serem apagadas
Principais desvantagens das UV EPROMs: utilizando eletricidade e a habilidade de apagar e reescrever
bytes individuais na matriz de memria.
- Elas tem de ser removidas do circuito para serem Como o processo interno de armazenamento de um valor de
programadas e apagadas. dado na EEPROM lento, a velocidade da operao de
- A operao de limpeza apaga todos os dados do chip. transferncia de dados pode tambm ser mais baixa.
- O processo total de apagamento leva at 20 minutos. - Dispositivos EEPROM esto disponveis em encapsulamentos
de oito pinos interfaceados a um barramento serial de dois ou
trs fios.

12-8 Memria Flash 12-8 Memria Flash


Uma clula de memria flash como a clula EPROM simples de um nico transistor,
com um custo consideravelmente menor do que de EEPROM. Uma caracterstica do CI CMOS de memria flash o registro de comando:
- Cdigos de comando so escritos neste registro para controlar quais
operaes ocorrem dentro do chip.
- A lgica de controle de estado examina os contedos do registro de
comando e gera sinais de lgica e controle.

12-8 Memria Flash 12-8 Memria Flash


Diagrama funcional de um chip de memria flash: As primeiras flash, baseadas nas EEPROMs, usavam tecnologia flash NOR:
- Esta configurao de circuito funciona como uma porta NOR
- Cada transistor lido ou escrito independentemente dos outros no grupo.
Flash NOR oferece tempo de acesso de leitura rpido e acesso aleatrio:
- Geralmente usado para armazenar instrues de programa para o
microcontrolador de um celular ou PDA.

8
02/12/2017

12-8 Memria Flash 12-8 Memria Flash


Tentativas foram feitas para melhorar a densidade de dispositivos flash de
armazenamento de massa, resultando na flash NAND: Circuitos flash NAND proporcionam apagamento rpido dos dados e tempo
de programao curto, mas os dados precisam ser tratados em blocos:
- Os dados devem ser acessados em conjunto com as outras linhas de
palavras que esto sendo ativadas por uma tenso do porta de controle, alta
o suficiente para ligar os outros transistores, independentemente da
quantidade de carga na porta flutuante.

Flash NAND usada para


armazenamento em massa de
fotos, msica, e outros
arquivos em dispositivos como
cmeras digitais, tocadores de
MP3 e drives flash USB.

12-9 Aplicaes das ROMs 12-9 Aplicaes das ROMs


reas mais comuns de aplicao de memrias ROMs:
reas mais comuns de aplicao de memrias ROMs (cont.):
Memria de programa de microcontroladores dedicados, como sistemas
automotivos de frenagem automtica, celulares, micro-ondas, filmadoras Tabelas de Dados, os quais no mudam como tabelas trigonomtricas e de
digitais, etc. converso de cdigo.

Transferncia de dados e portabilidade, como celulares, cmeras digitais,


Conversores de dados, que expressos em um tipo de cdigo so convertidos
tocadores de MP3, pen-drives, etc.
em uma sada expressa de outro tipo, como leituras de BCD para segmentos
de dispositivos LED.
Memria bootstrap, um programa relativamente pequeno armazenado
em ROM que carrega os programas do sistema operacional do dispositivo
de armazenamento de massa (disco) para a memria principal do
computador.

12-9 Aplicaes das ROMs


12-10 RAM Semicondutora
reas mais comuns de aplicao de memrias ROMs (cont.):
- Gerador de funo, que produzem formas de onda senoidais, dentes de serra, RAM (memria de acesso direto) significa que qualquer endereo de
triangulares e quadradas. memria possui a mesma facilidade de acesso de qualquer outro.
usada em computadores para armazenamento temporrio de programas e
dados e requer tempos de ciclo de leitura e escrita baixos, para evitar a
diminuio da velocidade de operao do computador:
A memria RAM pode ser escrita ou lida rapidamente com igual facilidade.
voltil e perde toda informao armazenada se a energia interrompida:
- Algumas RAMs CMOs podem ser energizadas com baterias quando a fonte
de energia principal interrompida.

Uma tabela de consulta ROM e um DAC so usados para gerar


um sinal de sada com forma de onda senoidal.

9
02/12/2017

12-11 Arquitetura da RAM


Para leitura do contedo do registrador selecionado:
12-11 Arquitetura da RAM

A entrada de ativao de
Considera-se a RAM como composta por uma quantidade de registradores:
escrita WE ou R/W deve
- Cada um deles armazena uma nica palavra de dados, e cada um deles um
endereo. estar como 1.
A maioria dos CIs de memria possui uma ou mais entradas de CHIP SELECT (CS),
usadas para ativ-lo ou desabilit-lo completamente. A entrada
- No modo desativado, todas as entradas e sadas de dados ficam desabilitadas, CHIP SELECT
no se podendo ler ou escrever no chip. tambm tem
de estar ativada.
Para diminuir a quantidade de pinos no encapsulamento do CI, os fabricantes
combinam funes de entrada e sada de dados usando pinos comuns.
Os buffers de
entrada ficam
desativados durante
uma leitura.

12-11 Arquitetura da RAM


Para escrita de uma palavra de quatro bits no registrador selecionado:
12-12 RAM Esttica (SRAM)

As clulas de memria de RAM esttica (SRAM) so flip-flops que ficam em


A entrada de ativao de um determinado estado (armazenamento de um bit), indefinidamente, desde
escrita WE ou R/W deve que a energia do sistema no seja interrompida.
estar como 0. So disponveis em variaes bipolar, MOS e BiCMOS:
- A maioria das aplicaes atualmente usam RAMs CMOS.
A entrada
CHIP SELECT
tambm tem
de ser 0.

Os buffers de sada
tristate ficam em Hi-
Z durante uma
escrita de dados.

12-12 RAM Esttica (SRAM) 12-12 RAM Esttica (SRAM)

Diagrama de tempo para um ciclo de leitura Diagrama de tempo para um ciclo de escrita
completo para um chip RAM tpico completo para um chip RAM tpico

10
02/12/2017

12-12 RAM Esttica (SRAM)


12-13 RAM Dinmica (DRAM)

O CMOS MCM6264C de memria RAM de 8K x 8 um tipo de CI de SRAM, Armazena dados como cargas em capacitores, que gradualmente
com: desaparecem devido a descarga do capacitor.
- Ciclo de leitura e escrita de 12 ns. - necessrio dar recargas (refresh) nos dados periodicamente, atravs da recarga
- Consumo de energia em standby de apenas 100 mW. dos capacitores, normalmente a cada 2, 4, ou 8 ms.

Tm capacidades muito maiores e consumo de energia muito


menor
- Quando as consideraes de projeto mais importantes so manter o tamanho,
custo e consumo de energia baixos. as DRAMs se tornam a melhor escolha em
memrias.

12-14 Estrutura e Operao da RAM Dinmica


12-14 Estrutura e Operao da RAM Dinmica
Arquitetura simplificada de uma DRAM tpica:
A arquitetura interna da RAM dinmica pode ser visualizada como um matriz
de clulas de um nico bit:

Arranjo de clulas em uma


RAM dinmica de 16K x 1,
com 16.384 clulas no total.

12-14 Estrutura e Operao da RAM Dinmica


12-14 Estrutura e Operao da RAM Dinmica
Conceitos essenciais envolvidos na leitura e
escrita de uma DRAM. Para reduzir a quantidade de pinos nas DRAM de alta capacidade os
fabricantes utilizam a multiplexao de endereos:
- Cada pino de entrada de endereo acomoda dois bits de endereo diferentes.
No endereamento multiplexado, o endereo aplicado em duas partes, o de
linha e o de coluna.
Ele conectado diretamente aos registradores de linha e coluna.
- O registrador de linha armazena a parte alta do endereo e o de coluna, a baixa.

O strobe de endereo de linha (row address strobe, RAS) armazena os


contedos das entradas de endereo no registro de endereo de linha.
Durante uma operao de escrita, as chaves SW1 e SW2 so fechadas.
Durante uma operao de leitura, todas as chaves so fechadas, exceto SW1.
O strobe de endereo de coluna (column address strobe, CAS) armazena os
contedos das entradas de endereo no registro de endereo de coluna.

11
02/12/2017

12-14 Estrutura e Operao da RAM Dinmica 12-15 Ciclos de Leitura/Escrita da RAM dinmica

Quando a CPU quer acessar uma localizao de memria particular,


ela gera o endereo completo e o coloca em linhas de endereo que
compe o barramento de endereo. Atividade de sinais para
uma operao de leitura
em uma RAM dinmica:

12-15 Ciclos de Leitura/Escrita da RAM dinmica


12-16 Refresh da DRAM

Quando uma operao de leitura realizada em uma clula, todas as clulas


Atividade de sinais para na linha passam pelo processo de refresh.
uma operao de escrita A lgica de controle de refresh usada para garantir que cada linha seja
em uma RAM dinmica: reavivada dentro do tempo limite:
- No modo de rajada (burst refresh) a operao de memria normal
suspensa e cada linha passa pelo refresh em sucesso at que todas as
linhas tenham passado pelo processo.
- No modo distribudo (distributed refresh), o refresh das linhas intercalado
com as operaes normais da memria.

12-16 Refresh da DRAM


12-18 Outras Tecnologias de Memria
O mtodo mais comum o refresh apenas como RAS :
Armazenamento magntico:
- realizado pulsando um endereo de linha com RAS enquanto CAS e
WE permanecem em ALTO. O primeiro mtodo de armazenamento magntico de informao
digital envolvia rolos de fita magntica para armazenamento e
recuperao a longo prazo de programas e dados.

O avano seguinte envolvia


revestir discos rgidos (hard
disks) com mdia magntica e
rotacion-los enquanto uma
cabea magntica de leitura e
gravao movia-se radialmente.
Um controlador de RAM dinmica (DRAM) geralmente usado para realizar
multiplexao de endereo e gerao de sequncia de contagem de refresh.

12
02/12/2017

12-18 Outras Tecnologias de Memria


12-18 Outras Tecnologias de Memria
Discos ticos tm uma tecnologia de armazenamento de memria
digital muito significativa:
Armazenamento magntico no voltil: de alta velocidade e acesso
aleatrio tambm foi usado nos primrdios da computao com Discos compactos de udio digital (CDs) tornaram-se disponveis no
tecnologia de ncleos magnticos (magnetic core): comeo dos anos 1980, e posteriormente vieram os Vdeos Digitais
(DVDs) e os discos Blu-Ray.
Linhas e colunas de pequenos eletroms podiam ser polarizados
em qualquer direo.
Essa tecnologia bsica foi trazida de volta recentemente na forma de
memrias de acesso aleatrio magnetoresistentes (magnetoresistive
Todos os formatos de
random access memory, MRAM).
armazenamento tico usam
essencialmente a mesma
tecnologia, diferenciando-se
principalmente no formato e na
densidade.

12-19 Expanso do Tamanho da Palavra e da Capacidade 12-19 Expanso do Tamanho da Palavra e da Capacidade
Oito CIs 2125A 1K x 1 organizados como uma memria 1K x 8
Em vrias aplicaes, a
capacidade de memria RAM ou
ROM ou o tamanho da palavra
no pode ser alcanado por um
nico chip de memria.
Vrios chips devem ser
combinados para prover a
capacidade e/ou o tamanho de
palavra.

Essa combinao de dois chips


de RAM age como
uma nica memria 16 x 8 e
chamado de mdulo de
memria 16 x 8.

12-19 Expanso do Tamanho da Palavra e da Capacidade 12-19 Expanso do Tamanho da Palavra e da Capacidade

A decodificao incompleta de endereo til quando dispositivos CIs DRAM com tamanho de palavra de 1-4 bits tm de ser
de memria diferentes so usados no mesmo sistema combinados para formar mdulos com tamanho de palavra maior

13

You might also like