You are on page 1of 143

金屬化製程 與 化學機械研磨

NDL 2013 暑假訓練班


鍾 朝 安 Chao‐An Jong, Ph.D.
資料來源: Hong Xiao, Ph. D.
資料來源 Hong Xiao, Ph. D. (半導體製程技術導論) 期刊論文
(半導體製程技術導論),期刊論文

1
課程目標
1. 金屬化製程
• 介紹金屬化製程在元件中的角色與重要性
• 介紹金屬化製程常用材料
• 介紹三種常用金屬薄膜沉積方法
• 介紹重要金屬薄膜參數與量測方法
• 介紹銅鑲嵌(Damascene)製程
2. 化學機械研磨
• 介紹化學機械研磨(CMP)的概念與應用
• 介紹一個基本的CMP系統結構組成
• 介紹氧化物和金屬 CMP製程不同點
• 介紹CMP技術相關重點與後清洗的重要性

2
金屬化製程

• 定義
• 應用場合
• 製程流程
• 金屬化製程常用金屬
• 金屬薄膜製備方法
– 物理氣相沉積 vs. 化學氣相沉積
vs 化學氣相沉積
• 金屬化技術發展趨勢

3
• 30年前,腳踏車、摩托車,柑仔店(沒有7‐11)
15年前 汽車 火車 飛機 7 11 百貨公司
• 15年前,汽車、火車、飛機,7‐11,百貨公司
• 10年前,飛機、高速公路,大賣場、商圈
• <5年前,高速公路、高鐵、101
• IC ((integration
g ) 把各項具有特殊功能的單元體積縮小到
circuits),把各項具有特殊功能的單元體積縮小到
一個晶片大小,用的方法就是所謂半導體製程,連結訊號的通
路就是金屬導線
路就是金屬導線。

4
何謂金屬化製程?
• 涵蓋與金屬結構製作相關製程技術總稱, 包含
圖案設計、微影、蝕刻、絕緣介電層製程、金屬薄膜沉積
製程、平坦化製程等與電的特性量測
• 元件速度與電阻值(R)、電容值(C)有關
• 目標: Low R、Low C 

應用場合
• 閘極(G)、源(S)/汲(D)極導電材料
• 內部各層金屬連線
• 多功能晶片間連結
(矽穿孔/TSV)

5
CMOS: 標準金屬化製程
1970年代,單層金屬

Ti/TiN TiN, ARC TiSi2

第一層金屬 Al (Cu)
W
BPSG

STI n+ n+ USG p+ p+
P型井區 N型井區
P型磊晶層

P型晶圓

6
應用: 局部連線
因應高速、多功能元件需求,多層金屬

1990年代,銅漸漸取代鋁金屬,成為主要金屬導線材料
7
應用: 局部連線

• 取決於元件特性需求與金屬化製程發展
• 90年代以前最常使用的是鋁合金
• 80~90年代的發展技術:W(鎢)栓塞
• Ti(鈦) 接著層/潤濕層
Ti(鈦), 接著層/潤濕層
• TiN(氮化鈦),  阻擋/附著層, 抗反射層
• Y2K年代後的主要金屬製程技術 銅合金
Y2K年代後的主要金屬製程技術–
• Y2010年以後,後銅導線時代(銀、碳材、光….)

8
以銅當導體連線的IC剖面圖
Ti/TiN SiN Ta/TaN
CoSi2

M1 Cu FSG Cu Cu

FSG
W

PSG W

STI n+ n+ USG p+ p+
型井區
P型井區 N型井區
P型磊晶層
P型晶圓

9
晶圓製造流程圖

材料 生產廠房
IC 生產廠房

化學機械 介電質沉 測試
金屬化 研磨 積
晶圓

加熱製程 離子佈植與 蝕刻與光 封裝


光阻剝除 阻剝除
光罩

微影製程 最後測試

設計

10
導電(金屬)薄膜
( )

11
導電薄膜

• 多晶矽 (Poly silicon)
(Poly silicon)
• 金屬矽化物 (silicide/salicide)
• 鋁合金 (Aluminum alloy)
(Aluminum alloy)
• 鈦 (Titanium)
• 氮化鈦 (Titanium nitride)
(Ti i i id )
• 鎢 (Tungsten)
• 銅 (Copper)
• 鉭 (Tantalum)
• 鈷 (Cobalt)

12
多晶矽 (Poly‐Si)

• 閘極(Gate)與局部連線的材料
閘極(G t )與局部連線的材料
• 1970年代中期取代鋁而成為匣極材料
• 具高溫穩定性
– 離子佈植後的高溫退火所必要的
– 鋁匣極無法用在自我對準源極/汲極佈植
• 重度摻雜
• 以LPCVD製程在高溫爐沉積

13
金屬矽化物 (Silicide)

• 金屬矽化物的電阻率比多晶矽低很多
• TiSi2, WSi2, 和 CoSi2 都是常用的選擇

14
自我對準的鈦金屬矽化物
的形成步驟

Ti Ti
TiSi 2 TiSi 2 TiSi 2 TiSi 2
多晶矽匣極 多晶矽匣極 多晶矽匣極

n‐ n‐ n‐ n‐ n‐ n‐
‐ 匣極氧化層 n+ n+ 匣極氧化層 匣極氧化層
n+ n+ n+ n+

鈦沉積
退火產生金屬矽化物 濕式剝除鈦薄膜

15
鋁 (Al)

• 最常當作連線使用的金屬
• 第四佳的電傳導金屬
• 1970年代中期以前曾被用作閘極的材料

•銀 1.6   cm
•銅 1.7   cm
•金 2 2  cm
2.2   cm
•鋁 2.65 cm

Al

16
鋁的一些基本資料
名稱 鋁
符號 Al
原子序 13
原子量 26.981538
發現者 漢克 克里斯汀 奧斯特德
發現地點 丹麥
發現日期 1825
名稱來源 源自拉丁字 "alumen",指明礬
固體密度 2.70 g/cm 3
摩爾體積 10.00 cm 3
音速 5100 m/sec
硬度 2.75
電阻係數 2.65 cm

反射率 71%
熔點 660 C
沸點 2519 C
熱傳導係數 235 W m -1 K -1
線性熱膨脹係數 23.110 -6 K -1
蝕刻物 (濕) H 3PO 4 , HNO 4, CH 3COOH
蝕刻物 (乾) Cl2, BCl3
CVD 源材料 Al(CH 3)2H

17
鋁—矽合金
• 在源極/汲極的區域中,鋁金屬線可以直接與矽接觸
/
• 矽會熔解入鋁中,鋁會擴散進入矽中
• 尖突現象
– 鋁的尖突物穿透摻雜接面
– 使源極/汲極與基片形成短路
• 通常~1% 就可以讓矽在鋁中達到飽和
• 攝氏400 
攝氏400 °C加熱退火會在矽鋁介面形成矽鋁合金
C加熱退火會在矽鋁介面形成矽鋁合金

鋁 SiO2 鋁 鋁

p+ p+

N型矽

18
電遷移
• 鋁是一種多晶態材料
鋁是一種多晶態材料,包含很多小形的單晶態晶粒
包含很多小形的單晶態晶粒
• 電流通過鋁線,電子不斷的轟擊晶粒,較小的晶粒就會開始
移動
• 這個效應就是電遷移 (electron‐migration)
• 電遷移會造成金屬線的撕裂,影響IC晶片的可信賴度
電遷移會造成金屬線的撕裂 影響 晶片的可信賴度
• 高電流密度在剩下的金屬線
– 加劇電子轟擊
– 引發更進一步的鋁晶粒遷移
– 最後造成金屬線的崩潰
• 老房子將有火災的危害

19
電遷移的預防

• 當少量百分比的銅與鋁形成合金,鋁的電遷移
當少量百分比的銅與鋁形成合金 鋁的電遷移
抵抗性會被顯著的改善
• Al‐Cu (0.5~4%) 是最常使用的連線金屬
• Al‐Si‐Cu 合金 使用在 源/汲極
• 銅扮演了鋁晶粒間的黏著劑角色,並且防止他
們因電子轟擊而遷移

20
Al 金屬製程技術

• Evaporation (蒸鍍法)
E ti (蒸鍍法)
• E‐gun evaporation
g p ((電子束蒸鍍法))
• PVD (Sputter) (物理氣相、濺鍍法)
– DC & RF (直流 射頻)
DC & RF (直流、射頻)
– Magnetic sputtering (磁控)
• CVD (化學氣相)
• ALD (原子層沉積)

21
鈦 (Ti)

• 應用
– 形成金屬矽化物 氮化鈦(ARC)
– 鈦的氮化作用
– 潤濕層 鋁‐銅 鈦(焊接層)
– 焊接層 氮化鈦

形成氮化
(形成氮化 PSG
物) 鈦(潤濕層)

n+
TiSi
2
鈦(形成矽化物)
( )

22
鈦 (Ti) 的基本資料
名稱 鈦
符號 Ti
原子序 22
原子量 47.867
發現者 威廉 格瑞哥
發現地點 英格蘭
發現日期 1791
名稱來源 以希臘神話中地球女神的兒子命名
固體密度 4.507 g/cm 3
摩爾體積 10 64 cm 3
10.64
音速 4140 m/sec
硬度 6.0
電阻係數 40 cm
熔點 1668 C
沸點 3287 C
熱傳導係數 22 W m -1 K -1
線性熱膨脹係數 8.610 -6 K -1
蝕刻物 (濕) H 2O 2, H 2SO 4
蝕刻物 (乾) Cl2, NF 3
CVD 源材料 TiCl4

23
Ti (潤濕層/焊接層)

• 降低接觸窗的電阻
– 鈦可以清除氧原子 (getter in vacuum process)
( tt i )

– 防止形成高電阻率的WO4 和 Al2O3.

• 結合TiN 作為W擴散阻擋層
– 避免鎢擴散進入Si中

24
氮化鈦 (TiN)

• 阻擋層 (barrier layer)
(barrier layer)
– 防止鎢擴散 (往矽晶片)
• 附著層 (adhesion layer)
( dh i l )
– 幫助鎢附著在氧化矽的表面
• 抗反射層鍍膜 (ARC) 
– 降低反射率和改進金屬圖案化微影技術的解析

– 防止小丘狀突出物和控制電遷移 (壓應力)
• 可以藉由PVD 和 CVD製程來沉積
25
氮化鈦 (PVD‐TiN)

• 阻擋層, 附著層以及抗反射層鍍膜(ARC)
阻擋層 附著層以及抗反射層鍍膜(ARC)

• 反應式濺鍍,利用氬氣和氮氣以Ti為靶材
– 在電漿中 N2 分子被分解

– 氮自由基 (N)

– N和 Ti在鈦表面形成 TiN層

– 氬離子會將TiN分子轟擊離開靶材,沉積在晶圓表面
氬離子會將TiN分子轟擊離開靶材 沉積在晶圓表面

26
氮化鈦 (CVD‐TiN)

• 阻擋層和附著層
• 比PVD 有較佳的階梯覆蓋
• 金屬有機製程 (MOCVD) 
• TDMAT or Ti[N(CH3)2]4
TDMAT or Ti[N(CH
– ~350 °C
• TiCl4 + NH
NH3
– ~700 °C, 無法用在金屬層間的接觸窗孔上

註: 新材料、新製程技術主導新元件技術開發
27
TiN的三種應用場合
TiN ARC, PVD
Al‐Cu TiN, PVD
Ti 焊接層 PVD
Ti 焊接層, PVD

W TiN 附著層,
TiN 附著層, 

PSG PVD & CVD

TiSi2 Ti/TiN阻擋層, 
PVD CVD
PVD, CVD
n+

Ti 跟PSG有較佳的附著性,TiN跟W、Al‐Cu有較佳附著性
28
鎢 (W)

• 填充接觸窗或金屬層間的接觸窗孔形成栓塞
• 接觸窗孔會變的更小與更窄
• PVD Al 合金: 不好的階梯覆蓋和空洞
有較好的階梯覆蓋和間隙填充能力
• CVD W: 有較好的階梯覆蓋和間隙填充能力
• 比PVD Al 合金
比 合金 ((2.9 到 
到 3.3 cm) 有較高的電阻率
) 有較高的電阻率
( 8.0 to 12 cm) 
• 僅使用在局部連線和不同層間的栓塞 (鋁製程)

29
鎢的基本資料

名稱 鎢
符號 W
原子序 74
原子量 183.84
發現者 浮斯脫和姜‧荷西‧第‧艾布亞
浮斯脫和姜 荷西 第 艾布亞
發現地點 西班牙
發現日期 1783
名稱來源 由瑞典字"tung sten" ,指重的石頭.
固體密度 19.25 g/cm3
摩爾體積 9.47 cm3
音速 5174 m/sec
硬度 7.5
反射率 62%
電阻係數 5 cm
熔點 3422 C
沸點 5555 C
熱傳導係數 170 W m-1 K-1
線性熱膨脹係數 4.510-6 K-1
蝕刻物 (濕) KH2PO4, KOH, 和 K3Fe(CN)6; 沸騰的 H2O
蝕刻物 (乾) SF6, NF3, CF4, 等
CVD 源材料 WF6

30
接栓塞 (plug) 製程演化

Al∙Si∙Cu Al∙Si∙Cu 洞
Al∙Cu

SiO SiO W SiO


2 2 2

Si Si Si

大開口的接觸窗, 小開口的接觸窗,使 使用CVD鎢填入小開


PVD金屬可填入 用PVD填入金屬的情形 口的接觸窗

31
鎢 CVD 
• WF6 為鎢的源材料
• 和SiH4 反應形成核層(nucleation layer)
• 和H2 反應形成巨量的鎢沉積
• 需要一層氮化鈦來幫助鎢的黏附

鈦/氮化鈦(TiN/Ti)

介電層
如 二氧化矽

32
銅 (Cu)

(1 7 cm)
• 較低的電阻性 (1.7 cm), 
– 較低的功率消耗和較高的 IC速度
• 高電遷移抵抗力
– 較佳的可靠度
• 銅對二氧化矽的附著能力極差 (需附著層)
• 擴散速率很高,重度金屬污染 (需阻障層)
• 非常難進行乾式蝕刻
– 銅—鹵素化合物的揮發性很低

33
銅的基本資料
名稱 銅
符號 Cu
原子序 29
原子量 63.546
發現者 在文字記載前,銅已被人類使用
在文字記載前 銅已被人類使用
發現地點
發現日期
名稱起源 由拉丁字"cuprum" ,是一個島的名稱
固體密度 / 3
8 92 g/cm
8.92
摩爾體積 7.11 cm3
音速 3570 m/sec
硬度 3.0
反射率 90%
電阻係數 1.7 cm
熔點 1084.77 C
沸點 5555 C
熱傳導係數 400 W m-1 K-1
線性熱膨脹係數 16.510-6 K-1
蝕刻物 (濕) HNO4, HCl, H2SO4
蝕刻物 (乾) Cl2, 需要低壓高溫的環境
CVD 源材料 (hfac)Cu(tmvs)
34
不同製備銅薄膜的電性比較
Electromigration in Cu is strongly 
affected by grain size and 
texture, which is strongly 
affected by the linear seed and 
affected by the linear, seed and
the deposition method. 

35
銅沉積

的種晶層
• PVD 的種晶層(seed layer) ( 以上
22nm以上)
ECP 或 CVD 巨量層
• ECP 或 CVD 巨量層 (bulk layer)沉積
(bulk layer)沉積
• 退火製程通常是緊跟著巨量銅 (bulk copper) 
沉積後進行
– 增加晶粒的尺寸
– 改進導電率 (降低缺陷密度)

36
鉭 (Ta)

• 阻障層/附著層
• 與銅有較佳附著性
– 物理氣相濺鍍沉積
• 氮化(TaN),阻障層
• 與氧化物有較佳附著性
• 物理氣相濺鍍沉積
• 原子層沉積
37
鉭的基本資料
名稱 鉭
符號 Ta
原子序 73
原子量 180.9479
發現者 安德斯 艾尼伯格
安德斯‧艾尼伯格
發現地點 瑞典
發現日期 1802
名稱來源 從希臘字 "Tantalos"而來,為希臘神尼奧比的父親
"T t l "而來 為希臘神尼奧比的父親
固體密度 16.654g/cm3
摩爾體積 7.11 cm3
音速 3400 m/sec
硬度 3.0
反射率 90%
電阻率 12.45cm
12.45 cm
熔點 2996 C
沸點 5425C
熱傳導係數 57.5 W m-1 K-1
線性熱膨脹係數 6.310-6 K-1
38
鈷 (Co)
• 過去主要被用來製作矽化鈷 (CoSi2).
)
• 利用濺鍍製程來沉積
• 22nm,CVD‐Co新應用(銅電鍍促進層)

CVD‐Co
Cu
Low k dielectric

39
鈷的基本資料
名稱 鈷
符號 C
Co
原子序 27
原子量 180.9479
發現者 喬哥、布蘭德
喬哥 布蘭德
發現地點 瑞典
發現日期 1735
名稱來源 從德文 "kobald"而來,意指妖精
固體密度 8.900 g/cm3
摩爾體積 6.67 cm3
音速 4720 m/sec
硬度 6.5
反射率 67%
電阻率 13 cm
熔點 1768 K or 1495 °C
沸點 3200 K or 2927 °C
熱傳導係數 100 W m-1 K-1
線性熱膨脹係數 13 010-6 K-1
13.010

40
IC製程常見金屬製程方法

物理氣相沉積方法 PVD, 過程中沒有化學反應產生


物理氣相沉積方法:
• 濺鍍: sputter (DC/RF, plasma source, ion‐assisted, pulse laser 
assisted, )
i d )
• 蒸鍍: evaporator, e‐gun

化學氣相沉積方法: CVD,過程中有化學反應產生
• CVD: 較PVD好的階梯覆蓋和間隙填充能力,鍍率快
• ALD: 更好階梯覆蓋和間隙填充能力,鍍率慢,對沉積表面
敏感度高

41
IC製程常見金屬製程方法

PVD
PVD vs. CVD
CVD
CVD: 表面上的化學反應
• CVD: 表面上的化學反應
• PVD: 表面上沒有化學反應

• CVD: 較好的階梯覆蓋 (50% to 


CVD: 較好的階梯覆蓋 (50% to ~100%)和間隙填
100%)和間隙填
充能力
PVD 較差的階梯覆蓋 (~ 15%)和間隙填充能力
• PVD: 較差的階梯覆蓋 (~ 15%)和間隙填充能力

42
IC製程常見金屬製程方法

PVD
PVD vs. CVD
CVD
• PVD: 品質較高,純度較好的沉積薄膜, 導電
性較高,容易沉積合金

• CVD: 薄膜中總是有不純度,導電性低,合金
CVD: 薄膜中總是有不純度,導電性低,合金
很難沉積

43
金屬薄膜的特性

44
金屬薄膜的測量

• 厚度
• 應力
• 反射係數
• 薄片電阻

45
金屬薄膜厚度 (1)

• 物理方法:
– 場發射電子顯微鏡(FETEM) 和 掃描式電子顯微鏡(SEM)
– 輪廓量測器 (‐step)

• 電方法:
電方法
– 4點探針 (Sheet resistance = thickness x resistivity)

• 其他:
– X‐光螢光分析儀(X‐ray Fluorescence Spectrometer, XRF )
– 聲學法
46
均勻性量測的取點分佈

27 26 49
2 6 29
28 48
47
11 10 25
30 12 46
24
2 31 13 3
2
9 23 45
32 14 4 1 8
22 44
3 1 5 7 3 1 5 9 5 7 21 43
33 15 6
34 16 20
42
4 35
17
18
19
41
36 40
4 8 37 38 39

5點 點
9點 點
49點

點量測 標準差 的非均勻性


• 49點量測,標準差3 的非均勻性,是一般製程的普
是一般製程的普
及定義
• 5點和9點量測,通常使用在製程監視和控制上
47
應力 (2)

• 薄膜和基片之間材料的不匹配
• 收縮式應力與 伸張型應力
• 高收縮式應力會引起小丘狀突出物
– 不同層間的金屬線短路
• 高伸張型應力會引起薄膜或是連線破裂或脫落
(p
(peels)
)
力 力 力 力
金屬薄膜 金屬薄膜

基板 基板

收縮式應力引起小丘狀突出物 伸張型應力產生破裂
48
反射係數 (3)

• 反射係數的改變即表示製程狀況的走勢
• 薄膜的晶粒尺寸與表面平滑度的函數
• 晶粒尺寸較大,則反射係數較低
• 愈平滑的金屬表面就會有較高的反射係數
• 簡單、快速和非破壞性的步驟
• 經常在半導體廠中的金屬化區間內進行

49
薄片電阻 (4)

• 薄片電阻 (Rs) 可以表示成

Rs = //t

• 假如薄膜的厚度t 已知,藉著測量Rs,可以
計算出薄膜的電阻率 () ;或是電阻率已知
() ;或是電阻率已知,
可以計算出薄膜厚度

50
金屬線的電阻

L
A
 I

L
R = 
A
= 電阻
R = 電阻  = 導體的電阻率
,  = 導體的電阻率
L = 長度,       A
, = 線的橫截面面積
51
薄片電阻的觀念

L
t w I

通入電流I並測量電壓V,
電阻: R = V/I = L/(wt)
對一個方型的薄片 所以 R = /t = Rs
對一個方型的薄片, L = w, 所以
Rs的單位: 每平方歐姆 (/)
Rs的單位: 每平方歐姆
52
四點探針工具
• 最常使用來量測薄片電阻的工具
• 電流施加在兩個探針之間,在另外兩個探針之間量測電壓A
– 假如P1和P4 間的電流是 I , Rs = 4.53 V/I,  V is 是P2 和 P3 間的電壓
– 假如P1和P3 間的電流是 I , R
I Rs = 5.75
5 75 V/I,  V i 是P2 和 P4 間的電壓
V/I V is 是P
• 兩個方程式是在假設薄膜區域無限大時推導的,晶圓上的薄膜量測卻不正確
I

P1 P2 P3 P4

S1 S2 S3

導電(金屬)薄膜
基板
53
垂直與傾斜式的接觸窗口

面積 = A
A 面積 = B
B

垂直的側壁 傾斜的側壁

面積 = A

1. RA > RB 
2 Case B metal filling is easier than case A
2. Case B metal filling is easier than case A
3. A has higher package density than B 54
CVD TiN 

• 無機化學
無機化學: TiCl
TiCl4 和 NH3 在攝氏 400到 700  700 °CC : 
6TiCl4 + 8 NH3  6 TiN + 24 HCl + N2

• 金屬有機CVD(MOCVD)
金屬有機CVD(MOCVD) 在攝氏350 
在攝氏350 °C及C及 300 mTorr: 
300 mTorr:
Ti[N(CH3)2]4  TiN + 有機物

55
CVD鎢 基本資訊

鎢的來源氣體: 六氟化鎢 (WF6)


鎢的來源氣體: 六氟化鎢

添加反應物: 氫 (H2)
添加反應物: 氫

475 °C
溫度: 400 475 
溫度: 400 ‐ C

100 %的階梯覆蓋性
100 %的階梯覆蓋性

56
典型的鎢 CVD 製程

 晶圓送至反應室
 設定壓力和氣體流量 (H2, SiH4)
 成核反應開始發生(矽烷減少WF6)
 巨量沉積時改變壓力和氣體流量
 巨量沉積發生 (H2 減少WF6)
 幫浦抽除反應室氣體並且開始吹除淨化
 晶圓送出反應室

57
鎢 CVD 的化學反應

矽上的成核步驟(形成所謂的選擇性鎢)
2 WF6 + 3 Si  2 W (s) + 3 SiF4
附著層上的成核步驟
3 SiH4  2 W (s) 
2 WF6 + 3 SiH
2 WF 2 W (s) + 3 SiF
3 SiF4 + 6 H
6 H2
巨量沉積
WF6 + 3 H2  W (s) + 6 HF
WF6和水氣反應
WF6 + 3 H2O  WO3 + 6 HF

58
鎢晶種層與鎢巨量層

鎢巨量層

Ti/TiN 阻擋層和附著層
鎢晶種層

Al alloy 二氧化矽

59
低阻值栓塞製程技術演進

[NDL 2011]

Evolution of low R super filling contact technology by bottom up electroplating


Evolution of low‐R super‐filling contact technology by bottom‐up electroplating

(Bulk resistivity (μΩ‐cm)  is W: 5.6, Cu:1.68, Ag:1.59 

60
栓塞技術發展趨勢

Rplug

seam

Scaling 

A. Topol et al VLSI (2006), IBM

61
銅微縮化之本質問題

微縮化

Mike Mayberry, (Intel)  2012 VLSI
高阻值阻障層無法微縮、覆蓋均勻性 “Peering through the Technology Scaling
“Peering through the Technology Scaling 
Fog”
62
為什麼考慮銀 (Ag)

Ag Cu Al W
Resistivity (-cm) 1.59 1.67 2.66 5.65
Melting point (K) 1235 1358 933 3660
Electron migration resistance Good Good Poor -
Oxidation resistance in air Good Poor Good Good
Adhesion to SiO2 Poor Poor Good Poor
Diffusivity in Si at high-Temp Mid Fast - -
PVD PVD PVD PVD
CVD/ALD? CVD/ALD CVD?? CVD
Deposition Evaporation Evaporation Evaporation Evaporation
ECD ECD ECD ECD
Etch Wet Wet Dry/Wet Dry/Wet

• Ag has lower resistivity, better oxidization resistance, lower


diffusivityy in Si and competitive
p EM p
performance than Cu
• Damascene is possible process for Ag interconnect fabrication
63
void

Jonathan Reid et al., Novellus Systems,  BR: PVD‐5nm TaN + 20nm Ta + 15s rsp


Inc., USA SD: 100nm Cu
G. Van den bosch et al., 2006 /IMEC
G. Van den bosch et al., 2006 /IMEC

Cu contact AR=6

CD=35

Ru‐containing liner
J. Kawahara et al., VLSI (2008), NEC B. S. Haran et al., 2008 (VLSI), IBM 64
Rh contact AR=6 
The resistivity of the electroplated Rh
Rh As-plated Annealed
Resistivity (cm) (cm)
Dep. (RT) 19 6.5
Dep. (50ºC) 44 9
I. Shao et al., 2007 (IITC), IBM

PVD Ti/ALD Ru/electroplated Rh
65
Super‐fill 30nm Diameter and 6.5 Aspect 
Ratio Contact Plug
Ratio Contact Plug
Ag

Ag contact AR=6.5 

30nm contact Via Plating 5s
g

Plating 10s Plating 15s

66
CNT Using in Interconnect
Comparison of experimental data for CNT resistivity.

Schematic and SEM cross section view of CNTs
integrated in 150nm contact holes
integrated in 150nm contact holes
B. Vereecke et al., “Characterization of carbon nanotube 
based vertical interconnects“,  2012 SSDM 67
鋁金屬化製程所使用機台
預先清洗 Ti/TiN PVD

晶圓出入 TiN CVD

• 裝載平台: 106 Torr 傳送室 傳送室 • 沉積反應室: 109 Torr

晶圓出入 Al CVD

冷卻 Al‐Cu PVD

• 傳送室: 107 到 108 Torr


68
金屬化製程使用機台實體

PVD 
靶材

PVD
反應室
CVD
反應室

69
真空幫浦能力範圍

• 濕式幫浦 (Oil diffusion pump): 大氣壓
( l d ff ) 大氣壓 到 10‐33 Torr

• 機械增壓式幫浦 (Rough pump): 大氣壓
(Rough pump): 大氣壓 到 10‐55 Torr

• 渦輪幫浦 ((Turbo pump): 10
p p) ‐2 到 10‐7 Torr

• 冷凝幫浦 (Cryo pump): 到 10‐10 Torr

• 離子幫浦 (Ion pump): 到 10‐11 Torr

70
金屬層間栓塞與內連結製程

栓塞製程 鋁連線製程 銅連線製程


• 除氣 • 除氣 • 除氣
• 預洗 • 預洗 • 預洗
• 鈦 PVD • 鈦 PVD • 鉭 PVD
• 氮化鈦 PVD  • 鋁‐銅 PVD • 銅晶種 PVD
• 氮化鈦 CVD • 氮化鈦 PVD • 電鍍銅
• N2‐H2 電漿處理 • 化學機械研磨(CMP)
• 鎢 CVD • CVD 氮化鈦(SiN)
氮化鈦

71
除氣 (Degas)
• 將晶圓加熱以驅除吸附在晶圓表面的氣體和水氣,否
則在沉積製程期間所吸附的氣體與濕氣會逐漸逸出,
並引起嚴重的污染而導致所沉積的金屬薄膜帶有高電
阻率
預洗 (Pre‐clean)
• 移除原生氧化層
• 降低接觸窗電阻
• 用氬離子(Ar
用氬離子(A +)濺射

72
銅金屬化製程

73

• 比鋁的導電性較好
• 較高的速度和較少的功率消耗
• 較高的電遷移抵抗性
• 銅在矽和矽玻璃中的擴散速率都很高 引起重
銅在矽和矽玻璃中的擴散速率都很高,引起重
金屬污染,需要擴散阻擋層
• 乾式蝕刻應用困難,無法形成可揮發的銅鹵
化物被抽離
– 鑲嵌製程 (Damascene)

74
蝕刻溝槽和金屬層接觸窗孔

FSG

氮化矽 FSG

FSG 銅 銅

75
PVD‐Ta 阻擋層與銅晶種層

Ta
FSG

氮化矽 FSG

FSG 銅 銅

76
電化學電鍍銅

Ta
FSG

氮化矽 FSG

FSG 銅 銅

77
Cu/TaN/Ta CMP and CVD‐SiN

氮化矽
Ta
FSG 銅

氮化矽

FSG 銅 銅

78
預洗(pre‐clean)步驟

• 氬濺射蝕刻用在預積的清洗步驟上
氬濺射蝕刻用在預積的 洗步驟上
– 經常被使用
– 由於濺射可能產生銅污染的問題
• 化學式預洗步驟
– H2 和 He 的電漿
– H 自由基和 CuO2 產生反應
4 H + CuO2  Cu + 2 H
4 H + CuO Cu + 2 H2O

79
阻擋層

• 銅擴散進入矽基片中損害微電子元件
• 需要阻擋層來防止
• Ti, TiN, Ta, TaN, W, WN, 
• Ta 和TaN 的組合被採用來當Cu阻擋層
• 厚度數百 Å 的Ta層 Å 的Ta層 經常被使用
• Sub‐22nm: CVD‐Ru, MnOx, MnSiOx
• 2018: barrier thickness <2nm (20 Å)

80
銅晶種層

• PVD 銅層厚度約 50nm 到 200nm


• 提供成核點以形成巨量銅的晶粒和薄膜. 
• 晶種層不存在
– 不會有沉積產生,導致沉積的品質和均勻性很差
• 銅蒸氣很容易被離子化
• 低壓時,平均自由路徑較長
• 銅離子可以被拋入金屬層接觸窗孔和溝槽內
– 達到好的階梯覆蓋和平滑的薄膜表面
• 金屬層接觸窗孔非常窄時,PVD銅由於階梯覆蓋很差不再能
夠達到晶種層的要求
CVD 銅製程或許可以被應用
• CVD 銅製程或許可以被應用
81
電化學電鍍(ECP/ECD)
• 硫酸銅(CuSO4 )溶液
• 銅 陽極,含銅晶種層的晶圓作為陰極
• 固定電流
• Cu2+ 離子擴散並沉基在晶圓上
晶圓 陰極,導電環
陰極 導電環

塑膠製的晶片載具

2+ Cu2+
硫酸銅溶液 Cu
Cu2+ 銅薄膜
Cu2+
電流
陽極, Cu

82
電鍍填充金屬層接觸窗孔

硫酸銅溶液
Cu2+ Cu2+
Cu2+

Cu2+
T /T N
Ta/TaN
Cu2+

USG Cu2+ USG

83
銅 CVD (1)

• 雙
雙‐六氟乙酸‐丙酮‐銅, 或
六氟乙酸 丙酮 銅, 或 Cu(hfac)2
Cu(hfac)2 + H2  Cu + 2 H(hfac)
• 350 到 450 °C ,這個溫度對低介電常數材
料的製程整合太高
F3C CF3

C O O C
Cu(hfac)2 HC Cu CH
的化學結構 C O O C

F3C CF3

84
銅 CVD (2)
• 有機金屬化合物
• 雙‐六氟乙酸‐丙酮‐銅‐乙烯‐三甲基矽烷
– Cu(hfac)(tmvs): C
Cu(hfac)(tmvs): C10H13CuF6O2Si

2 C (hf )(
2 Cu(hfac)(tmvs) 
) Cu + Cu(hfac)
C C (hf )2 + 2 tmvs
2

• 加熱製程 ~ 175 °C, 1 到 3 Torr


• 優良的階梯覆蓋性和間隙填充的能力
• 在<30nm孔洞填充會更有優勢

85
各種銅薄膜製程比較

Ref: 2001 08 Y B Park


Ref: 2001.08 Y. B. Park

86
鑲嵌製程整合技術重點

87
Chapter 12
Chapter 12
化學機械研磨

88
課程目標

• 列舉出化學機械研磨(CMP)的應用.
列舉出化學機械研磨(CMP)的應用
• 描述一個CMP系統的基本結構.
• 描述氧化物和金屬CMP的研磨漿之間的不同.
• 描述氧化物CMP製程.
• 描述銅金屬研磨製程.
• 解釋
解釋CMP後清洗的重要性.
後清洗的重要性

89
課程概要

• CMP製程的重要因素 :
– 研磨速率, 平坦化的能力, 均勻性, 選擇性,缺陷
以及污染物的控制
– 研磨速率受向下之力的壓力、襯墊的硬度、襯
墊表面的條件、襯墊與晶圓的相對速度和所用
的研磨漿影響.
– CMP均勻性受向下之力的壓力分佈、研磨襯墊
的條件 、襯墊硬度和襯墊本身的條件影響
襯墊硬度和襯墊本身的條件影響

90
課程概要

• CMP系統通常由晶圓載具 旋轉平台上的研磨墊
CMP系統通常由晶圓載具、旋轉平台上的研磨墊、
墊片調整器以及一個研磨漿輸送系統組成
• 氧化物研磨漿: 以膠狀的二氧化矽懸浮物為研磨料的
鹼性溶液, 10< pH < 12
• 金屬的研磨漿料:
– 鎢的研磨漿是以氧化鋁為主的酸性溶液, 4< pH < 7
鎢的研磨漿是以氧化鋁為主的酸性溶液 4< pH < 7
– 銅的研磨漿是以氧化鋁為研磨料的酸性溶液

91
課程概要

• CMP主要的應用是介電質平坦化以及在大量薄膜
移除
和 鎢栓塞以及雙重金屬鑲嵌銅
– STI、PMD和IMD、鎢栓塞以及雙重金屬鑲嵌銅
連線.
• 對於小於0.25 m圖案圖形而言需要的景深較小
CMP的優點: 高解析度圖案化、較高的良率、較
• CMP的優點: 高解析度圖案化 較高的良率 較
低的缺陷密度

92
CMP 硬體與製程示意圖

(研磨墊)

電鍍 CMP

93
平坦化的定義

• 平坦化是一個製程,它會移除表面形貌並使表面光
滑化及平坦化
• 平坦化的程度(The degree of planarization)可指明晶圓
平坦化的程度(Th d f l i ti )可指明晶圓
表面的平坦度與平滑度

部分平坦化

全區平坦 94
平坦化的程度

平坦化 R( m) 
表面平滑 0.1 至 2.0 > 30。
區域性平坦 2.0至100 至0.5
30。至0
30 5。
全區性平坦 > 100 < 0.5。

• 平滑化與局部的平坦化可以藉由加熱流動以及
回蝕刻製程而達到
• 全面性的平坦化對於圖形尺寸小於0.35 m 是
必要的 而這只能藉著化學機械研磨才能達到
必要的,而這只能藉著化學機械研磨才能達到

95
化學機械研磨製程的必要性

• 微影技術的解析度R
微影技術的解析度R = K = K1/NA
• 為改善解析度, 數字孔徑(NA)  or 波長(
• 景深(DOF) = K
景深 2 /2(NA) 兩種改善解析度的方法都會
2, 兩種改善解析度的方法都會

降低光學系統的景深
• 當解析度0.25 m時, 景深約2,083 Å,解析度0.18 

m時,景深約1,500 Å
• 此處我們假設K1=K2, =248 nm (DUV), 且 NA=0.6
圖形尺寸0 25 m 需要粗糙度< 2000 Å
• 圖形尺寸0.25 m 需要粗糙度< 2000 Å 
• 所需的平坦化只能藉著使用CMP製程而達到

96
化學機械研磨製程的應用

• STI 形成
• 介電質平坦化
– PMD 和 IMD 
• 鎢栓塞形成
• 銅內連線導線製程
• 深溝槽電容器
Note: All photos was obtained from the internet   97
化學機械研磨製程的應用

W CMP Multi level Cu interconnect


Multi‐level Cu interconnect

Note: All photos was obtained from the internet  

98
Example for Cu Damascene

PECVD 氮化矽 Deposition


PECVD 氮化矽
氮化矽

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

99
Example for Cu Damascene

PECVD未摻雜玻璃
氮化矽

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

100
Example for Cu Damascene

PECVD氮化矽蝕刻停止層
氮化矽

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

101
Example for Cu Damascene

電漿增強化學氣相沉積
電漿增強化學氣相沉積USG

USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

102
Example for Cu Damascene
光阻塗佈
光阻
USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

103
金屬層接觸窗孔 1 光罩

104
Example for Cu Damascene
金屬層接觸窗孔 1 光罩 曝光和顯影
光阻

USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

105
Example for Cu Damascene
蝕刻 USG, 並停止在氮化矽層
光阻
USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

106
Example for Cu Damascene
剝除光阻

USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

107
Example for Cu Damascene
光阻塗佈
光阻
USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

108
金屬 1 光罩

109
Example for Cu Damascene
金屬 1 光罩 曝光和顯影
光阻
USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

110
Example for Cu Damascene
蝕刻 USG 和氮化矽
光阻
USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

111
Example for Cu Damascene
剝除光阻

USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

112
Example for Cu Damascene
沉積 鉭 阻擋層

USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

113
Example for Cu Damascene
沉積銅

USG

USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

114
Example for Cu Damascene
銅和鉭的CMP

金屬1 USG 銅
USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

115
Example for Cu Damascene
沉積氮化矽密封層

金屬1 USG 銅
USG

PSG
W

STI n+ n+ USG p+ p+

P型井區 N型井區

P型磊晶層
P型晶圓

116
CMP硬體

研磨墊表面

Journal of Materials Processing Technology
Volumes 153–154, 10 November 2004, Pages 666–673
, , g

117
• 研磨襯墊
• 晶圓載具
• 研磨漿輸配器 研磨漿輸配器
壓力
膜層

晶圓 晶圓夾具
固定環 研磨漿

研磨襯墊

平台

118
襯墊調整器
• 掃過研磨襯墊的表面,增加粗糙度以維持達到一個良好的平
坦化研磨結果 並移除使用過的研磨漿
坦化研磨結果,並移除使用過的研磨漿
• 調整器是一個不鏽鋼圓盤,表面上塗佈著鍍鎳的鑽石碎粒
• 鑽石CMP調整器
鑽石 調整器 : 塗佈上一層矽的不鏽鋼圓盤,CVD鑽石薄膜
塗佈上一層矽的不鏽鋼圓盤 鑽石薄膜
覆蓋住的鑽石碎粒均勻的分佈在矽的表面上

CMP調整器的表面
鑽石細粒 鑽石細粒(~ 20 m)
鎳薄膜 鑽石薄膜

矽基片
不鏽鋼平板 不鏽鋼平板
傳統式(鍍鎳膜)
傳統式(鍍鎳膜)  鑽石附著式(鍍鑽石膜)

119
(1) 研磨襯墊及襯墊調整器
研磨漿
輸配器
晶圓
載具

研磨襯 襯墊調
墊 整器

Conventional Diamond pad 
conditioner http://www.diamonex.com/products/phoenix‐edge‐pad‐conditioners/ 120
襯墊調整

• 襯墊因為研磨而變的較平滑
• 需要重建一個粗糙的襯墊表面
• 每一個襯墊都有一個臨場的襯墊調整器
• 調整器會重新處理研磨襯墊的表面
• 移除使用過的研磨漿
• 提供新的研磨漿到表面

121
(2) 研磨頭(晶圓載具)示意圖
固定環
• 研磨頭也叫做晶圓載具,包括:
載具薄層
– 研磨頭主體
– 固定環
– 載具薄膜
研磨頭本體
– 向下力推進系統 向下壓 真空承座

載具室 固定環定位器

固定環
膜層 固定環

晶圓
122
(3) 研磨漿輸送系統

液流控
去離子水 懸浮液
去離子水+懸浮液
制器

液流控 CMP 
CMP
pH 調整器 混合器
制器 工具

液流控
氧化劑
制器

• 研磨漿的成分是儲存在不同的瓶子
– 帶有粒子的超純水
– 控制pH值的添加物
– 金屬氧化用的氧化劑
• 流過一個攪拌器,依製程所需的比例混合在一起
123
化學機械研磨製程的研磨漿

• 一種帶有研磨作用的粒子和化學添加物所組成的水性基
礎化學品,而且不同的研磨製程需要不同的研磨漿
• 研磨漿會影響研磨速率、選擇性、平坦性及均勻性
研磨漿會影響研磨速率 選擇性 平坦性及均勻性
• 研磨漿通常會針對某一種特殊的應用而作精密的處理和
配置. 
配置
• 研磨漿的化學物質會與表面材料反應,形成的化合物會
被研磨粒子所移除
• 研磨漿中的粒子透過機械式研磨方式,將晶圓表面材料
移除
• CMP研磨漿中的添加物幫助到達想要的研磨結果

124
化學機械研磨製程的研磨漿

• 氧化物研磨漿:
氧化物研磨漿: 帶有懸浮二氧化矽的鹼性溶液
帶有懸浮二氧化矽的鹼性溶液
• 金屬研磨漿: 帶有氧化鋁顆粒的酸性溶液
• 添加物可以控制研磨漿的pH值
添加物可以控制研磨漿的 值
– 氧化物研磨漿, pH 在 10 到 12
– 金屬研磨漿, pH 在 6 到 2

研磨劑
CMP 製程
研磨顆粒 添加劑
氧化層(STI PMD ILD)
氧化層(STI、PMD、ILD) SiO2 CeO2 Al2O3 Mn2O3
SiO2、CeO2、Al2O3、Mn2O3 KOH NH4OH
KOH、NH4OH
W SiO2、Al2O3 H2O2、Fe(NO3)、KIO3
金屬層 Al SiO2 H2O2、Fe(NO3)、KIO3
Cu Al2O3 H2O2、Fe(NO3)、KIO3
125
化學機械研磨的技術基礎

• 移除速率
• 均勻性
• 選擇性
• 缺陷

126
移除速率

• 機械材料的移除速率是由普萊斯頓(Preston)發現的
• 普萊斯頓方程式可以表示成
R Kppv
R = K
– p 是研磨壓力
– Kp 是普萊斯頓係數
– v 晶圓與襯墊的相對速度

製程前後的薄膜厚度改變量並除以製程時間
• CMP製程前後的薄膜厚度改變量並除以製程時間
– 每日工具品質評定,為求均勻性應多次測量
– 通常 49點, 3 的標準差量測可被用來定義CMP製程評定
時的均勻性

127
均勻性

• 晶圓內(WIW)和晶圓對晶圓(WTW)的均勻性都會
受到研磨襯墊狀況、向下之力的壓力分佈、晶
圓對襯墊的相對速度、固定環的位置以及晶圓
形狀的影響. 
形狀的影響
• 藉著採用一個較硬的襯墊和較低的壓力來達到
很好的全面均勻性
• 低壓力、低移除速率影響產量

128
選擇性

• 利用不同材料的移除速率不同,移除速率比值
利用不同材料的移除速率不同 移除速率比值
– 對鎢的CMP製程而言,對氧化物和氮化鈦之
間的選擇性是非常重要的. 
– 通常鎢對TEOS的氧化物的選擇性都非常的
高,從 50 到 200 
• 選擇性也和圖案的密度有關
– 圖案密度越高,移除選擇性越低
圖案密度越高 移除選擇性越低

129
選擇性

• 研磨漿化學品是影響CMP製程之移除選擇性的
主要因素之一
– STI製程中的氧化物CMP而言,氧化物對氮化
物的選擇性是在 100:1 到
100:1 到 300:1
– PMD 和 IMD的 CMP製程 ,只有氧化層的研
磨,選擇性就比較不重要

130
缺陷產生與改善

CMP 移除缺陷和改善良率
• CMP 移除缺陷和改善良率
• 引起一些新的缺陷
– 刮傷、殘餘的研磨漿、粒子、腐蝕以及碟型化. 
刮傷 殘 的研磨漿 粒子 腐蝕以及碟型化
– 大顆的外來粒子以及堅硬的研磨襯墊會引起刮痕
– 不適當的向下力壓力,不適當的襯墊調整、損害
的襯墊 粒子的表面吸附以及研磨將變乾
的襯墊、粒子的表面吸附以及研磨將變乾
– 研磨漿殘渣滯留在晶圓表面上造成污染
• CMP後清洗對移除研磨漿殘渣以及改善製程良率是
很重要的
131
高密度圖案引起的腐蝕效應

W 氧化物 W W

金屬層接觸窗
孔蝕刻停止層 由腐蝕造成的斷路

銅 氧化物 銅 銅
腐蝕造成的斷路

鎢 氧化物 鎢 鎢

• IC 設計不局直接影響腐蝕的問題
• 藉著使晶片表面的開放空間小於30% 的設計,來解決腐
藉著使晶片表面的開放空間小於30% 的設計,來解決腐
蝕的問題 132
碟型化效應

• 通常發生在一個較大的開放區
– 較大的金屬襯墊
– 槽溝內的STI氧化物. 
槽溝內的 氧化物
• 較多的材料會從區域的中心被移除
• 橫截面看起來像一個碟子

二氧
碟型化效應 鎢
化矽

133
碟型化/腐蝕性和選擇性

• 碟型化和腐蝕效應都與研磨粒的選擇性有關
• 鎢 CMP 製程
– 假如鎢對氧化物的選擇性太高,更多的鎢被移除,
引起碟型化和凹陷現象
– 假如選擇性不夠高,氧化鎢和鎢都會在研磨過程
被磨掉,導致腐蝕效應
• 在STI形成過程中,氧化物對氮化物的高選擇性會
在氧化物CMP的過度研磨期間造成碟型化效應

134
製程問題

• CMP 製程相對是很複雜的製程
CMP 製程相對是很複雜的製程
• 可獲得的製程細節非常有限
製程主要關心的事項
• CMP 製程主要關心的事項
– 研磨速率, 
– 平坦化的能力, 晶粒內的均勻性,
– 晶圓內的均勻性以及晶圓對晶圓的均勻性
– 移除選擇性
– 缺陷以及污染物的移除與控制

135
製程問題: 研磨速率

• 研磨速率受下列因素影響

– 向下之力的壓力

– 襯墊的硬度

– 所用的研磨漿

– 轉動速度

136
製程問題: 平坦化

• 平坦化的能力主要是取決於研磨襯墊的硬度
以及表面狀況. 

137
製程問題: 均勻性

• 均勻性受下列因素影響
– 研磨襯墊的條件
– 向下之力的壓力
– 晶圓與襯墊的相對速度
– 晶圓曲率,與薄膜的應力有關
• 向下之力的壓力的分佈是控制CMP的均勻性最
向下之力的壓力的分佈是控制 的均勻性最
重要的環節

138
製程問題: 移除選擇性

• 主要是受研磨漿的化學成份控制
• 也受圖案的密度控制
– 圖案的密度受電路設計的佈局決定. 

139
製程問題: 缺陷

• 許多不同種類的的缺陷與不同的製程
參數有關

140
製程問題: 污染物的控制

• 污染物的控制:
– CMP區間與其他製程區域隔離
– 限制人員在CMP區間和其他區域移動
• 銅C 工具
CMP 工具
– 避免矽晶圓受到銅污染物
– 銅污染物會導致MOSFET的功能不穩定並且會毀壞
積體電路晶片

141
製程問題: 污染物的控制

• 如果研磨漿以經濺出
如果研磨漿以經濺出,在它變乾之前就立刻徹底
在它變乾之前就立刻徹底
地將其清潔乾淨是非常重要的
• 乾固的研磨漿會留下大量的微小粒子,很容易隨
氣流傳播,是一個粒子污染的來源. 

142
Q&A

143

You might also like