You are on page 1of 38

REFERENCE DOCUMENT

FM Global 7-7R
Property Loss Prevention Data Sheets 17-12R
January 2003
Page 1 of 38

SEMICONDUCTOR FABRICATION FACILITIES

Table of Contents
Page

1.0 SCOPE ................................................................................................................................................... 3


2.0 SUPPORT FOR RECOMMENDATIONS ............................................................................................... 3
2.1 Process Hazards ............................................................................................................................. 3
2.1.1 Gases (General) .................................................................................................................... 3
2.1.1.1 Silane ......................................................................................................................... 6
2.1.1.2 Dichlorosilane ............................................................................................................ 6
2.1.1.3 Trichlorosilane ............................................................................................................ 6
2.1.1.4 Chlorine Trifluoride ..................................................................................................... 6
2.1.1.5 Hydrogen ................................................................................................................... 7
2.1.2 Photoresist, Developer and Rinse ........................................................................................ 7
2.1.3 Plastic Wet Benches ............................................................................................................. 8
2.1.4 Plastic Ductwork .................................................................................................................... 8
2.1.5 Vacuum Pumps ..................................................................................................................... 9
2.1.6 Ion Implanters ...................................................................................................................... 10
2.1.6.1 Ion Implanter HVDC Power Supply ......................................................................... 10
2.1.6.2 Ion Implanter Isolation Transformer ......................................................................... 10
2.1.6.3 Ion Implanters — National Electric Code (NEC) Requirements for
Transformers ............................................................................................................ 11
2.1.6.4 American National Standard for Transformers - C57.12.22-1989 ........................... 11
2.1.6.5 Ion Implanter Loss Experience ................................................................................ 12
2.1.7 Diffusion ............................................................................................................................... 12
2.1.8 Spill Hazard ......................................................................................................................... 12
2.2 Fire Hazards of Wet Benches ....................................................................................................... 13
2.2.1 Fire Tests Conducted by FM Approvals on Wet Benches .................................................. 13
2.3 FM Approvals Cleanroom Materials Flammability Test Protocol (Class 4910) ............................. 14
2.4 FM Approved Duct Systems .......................................................................................................... 14
2.5 Fire Hazards of Stockers ............................................................................................................... 15
2.6 Silane Gas ..................................................................................................................................... 15
2.7 Electrical Exposure ........................................................................................................................ 16
2.8 Deionized (DI) Water Systems ...................................................................................................... 16
3.0 PROCESS OVERVIEW ........................................................................................................................ 16
3.1 Effluent Gas Conditioning Systems ............................................................................................... 21
3.2 Cleanroom Overview ..................................................................................................................... 21
3.3 Processing Tools ............................................................................................................................ 24
3.3.1 Chemical Mechanical Polish ............................................................................................... 25
3.3.2 Alcohol Vapor Dryers ........................................................................................................... 25
3.3.3 Reprocessors ...................................................................................................................... 25
3.3.4 Mini-Environment Enclosures .............................................................................................. 25
3.3.5 Vacuum Pumps ................................................................................................................... 26
3.4 Bulk Chemical Distribution ............................................................................................................. 26
3.5 Liquid Damage Exposures ............................................................................................................ 26
3.6 Protection Against Theft ................................................................................................................ 27
3.7 Uninterruptible Power Supply Overview ........................................................................................ 28
4.0 OTHER APPLICABLE CODES AND STANDARDS ........................................................................... 28
4.1 United States Building Code ......................................................................................................... 28
4.2 NFPA 318 ....................................................................................................................................... 29

©2003 Factory Mutual Insurance Company. All rights reserved. No part of this document may be reproduced,
stored in a retrieval system, or transmitted, in whole or in part, in any form or by any means, electronic, mechanical,
photocopying, recording, or otherwise, without written permission of Factory Mutual Insurance Company.
7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 2

4.3 SEMI S-2 ....................................................................................................................................... 29


4.4 International Codes ........................................................................................................................ 30
4.5 ISO International Cleanroom Standards ........................................................................................ 31
4.5.1 ISO 14644-1 Air Cleanliness Classification .......................................................................... 32
5.0 SEMICONDUCTOR TERMINOLOGY .................................................................................................. 33
6.0 BIBLIOGRAPHY ................................................................................................................................... 38

List of Figures
Fig. 1. Process gas distribution arrangements ............................................................................................. 4
Fig. 2. Wet bench free burn test. ................................................................................................................. 13
Fig. 3. Flow diagram of semiconductor fabrication. ..................................................................................... 17
Fig. 4. Semiconductor fabrication facility systems diagram. ........................................................................ 18
Fig. 5. Clean bay service aisle. .................................................................................................................... 22
Fig. 6. Tool service corridor. ......................................................................................................................... 23
Fig. 7. Various arrangements of a wet bench and associated fume exhaust ductwork. ............................ 24

List of Tables
Table 1.
Gases Used in Fabrication ............................................................................................................. 5
Table 2.
Silane Mixtures ................................................................................................................................. 6
Table 3.
Flammable and Combustible Liquids Used in Fabrication .............................................................. 8
Table 4.
Process Reactions ........................................................................................................................... 9
Table 5.
Vacuum Applications Used in Fabrication ...................................................................................... 10
Table 6.
Material Nomenclature and Use .................................................................................................... 14
Table 7.
Common Nonflammable Semiconductor Process Liquids ........................................................... 26
Table 8.
Possible Water Damage Sources ................................................................................................. 27
Table 9.
Selected airborne particulate cleanroom classes for cleanrooms and cleanzones
defined by ISO 14644-1 ................................................................................................................. 32
Table 10. Comparison between different Cleanroom Class Standards ....................................................... 32

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 3

1.0 SCOPE
This reference data sheet describes the process flow and processing tools used to fabricate semiconductors.
Included is an overview of the requirements of other applicable codes used by the industry at the national
and international levels. Basic terminology used by the industry is provided along with a bibliography of
reference material.

2.0 SUPPORT FOR RECOMMENDATIONS

2.1 Process Hazards


The process hazards of manufacturing semiconductor devices involve extensive use of toxic, highly corro-
sive and flammable gases and liquids. The extensive use of combustible plastics adds to the high risk of fire
loss. Because process equipment is expensive and the product in process is extremely susceptible to fire,
smoke, and water damage, great potential exists for substantial dollar loss from fire, even though the fire may
be contained in a very small area.

2.1.1 Gases (General)


Table 1 lists gases and the associated processes in which the gases are used. The overall system for the
distribution of process gases is shown in Figure 1.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 4

Fig. 1. Process gas distribution arrangements1.


Notes:
(1) Does not represent any single configuration, but many possible configurations.
(2) Section of piping between the gas cabinet and process tool can range in length from a few feet (meters) to several
hundred feet (meters).
(3) See Figures 8 and 9 in Data Sheet 7-7/17-12 for actual illustrations.
(4) Exhaust fans where applicable.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 5

Table 1. Gases Used in Fabrication


Gas Process Hazard
Ammonia (NH3) VX FTC
Arsenic Pentafluoride (AsF5) I TC
Argon (Ar) COEDVMX I
Arsine (AsH3) CEDIV FT
Boron Trichloride (BCl3) DIX TC
Boron Trifluoride (BF3) DI T
Carbon Dioxide (CO2) V I
Carbon Monoxide (CO) EM FT
Carbon Tetrachloride (Ccl4) X CT
Chlorine (Cl2) X CT
Chlorine Trifluoride (CLF3) D TCO
Diborane (B2H6) EDV FPT
Dichlorosilane (SiH2Cl2) EV F(T)C, P
Dimethylzinc ((CH3)2Zn) V FT
Disilane (Si2H6) V F
Fluorocarbons (various Freon compounds & others) X I
Germane (GeH4) EV FT
Hydrogen (H2) COEDIVX F
Hydrogen Chloride (HCl) OEX TC
Hydrogen Selenide (H2Se) I FT
Hydrogen Sulfide (H2S) V T
Nitrogen (N2) OEDIVX I
Nitrogen Trifluoride (NF3) X T
Nitrous Oxide (N2O) V O
Oxygen (O2) ODVX O
Phosgene (COCl2) CEDIV FT
Phosphine (PH3) CEDIV FPT
Phosphorous Pentafluoride (PF5) I TC
Silane (SiH4) EIV FP
Silicon Tetrachloride (SiCL4) EVX TC
Silicon Tetrafluoride (SiF4) IX TC
Sulphur Hexafluoride (SF6) X I
Trichlorosilane (SiHCl3) EV F(T)C
Trimethylsilane ((CH3)5Si4) V F
Tungsten Hexafluoride (WF6) V (T)C
Xenon (Xe) X I
KEY for Table 1.

PROCESS

C — crystal growth (silicon, gallium arsenide compounds)


O — thermal oxidation
E — epitaxy
D — thermal diffusion
I — ion implantation
V — chemical vapor deposition (aluminum, polysilicon, silicon dioxide, silicon nitride, silicides, tungsten)
M — metalization
X — etching (aluminum, chromium, III-V compounds, ion milling, metal silicides and refractory metals, photoresist, polysilicon, silicon
dioxide, silicon nitride)

HAZARD

F — flammable
P — pyrophoric
T — toxic (T)-toxic byproducts
C — corrosive
I — inert
O — oxidizer

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 6

2.1.1.1 Silane
Silane, which is discussed in detail under Section 2.6, more so than other gases used in semiconductor manu-
facturing, can lead to severe exposures. It is a stable gas but is pyrophoric, that is, under certain condi-
tions, it can spontaneously ignite.
The trend today is to use higher concentrations of silane. In addition, silane is being used as a carrier gas
for arsine and phosphine. In the event of a leak, the pyrophoric silane reaction would likely consume the
poisonous arsine and phosphine. The process properties of silane mixtures can be found in Table 2.

Table 2. Silane Mixtures


Percent Silane Carrier Gas Hazard
<1.0 Inert (none) Inert (none)
>2.0 Inert Flammable
>1.0 Any Flammable
>2.0 Hydrogen Pyrophoric
>3.0 Inert Pyrophoric

2.1.1.2 Dichlorosilane
Dichlorosilane (DCS) is a pyrophoric, toxic, corrosive and colorless gas. Its boiling point is 47°F (8.3°C).
The minimum autoignition temperature is 111°F (44°C).
DCS is used for a variety of chemical vapor deposition reactions. It is used to form epitaxial layers as well
as silicon dioxide, silicon nitride, and polysilicon layers.
DCS tends to slowly decompose during storage. This is only a problem in the presence of heat and/or cata-
lysts such as amines or Lewis acids. Decomposition products are silane, monochlorosilane, trichlorosilane
and silicon tetrachloride.
Due to the corrosive nature of DCS, there is concern regarding its effect on carbon steel cylinders and valves.
Therefore, no more than a 12-month shelf life is recommended.
Minimum ignition energy (MIE) is 0.0154 mJ (second to hydrogen which is the lowest measured MIE).
Combustion produces amorphous silica, water, hydrogen chloride gas, and chlorine.
Due to its low vapor pressure (9 psi [0.6 bar]) and concern about proper distribution flow, there is a preference
in the industry to locate process cylinders of DCS close to the process tool to minimize the length of distri-
bution pipe. However, this results in process DCS cylinders being located in service chases and subfabs
which, in turn, results in an unnecessary exposure to the cleanroom, process tools and related support
equipment.
Some facilities have overcome the low vapor pressure distribution flow issue by insulating and heat tracing
the distribution piping. This allows them to locate process DCS cylinders in properly arranged process gas
distribution rooms which do not expose the cleanroom, process tools and related support equipment.

2.1.1.3 Trichlorosilane
Another chlorinated silane gas is trichlorosilane (TCS) which is used to produce polycrystalline silicon and
to form silicon epitaxial layers. With a boiling point of 89°F (32°C) and a flash point of 7°F (–14°C), TCS is
normally found in liquid form.

2.1.1.4 Chlorine Trifluoride


Chlorine trifluoride is used to clean chemical vapor deposition (CVD) reactor chambers. It is a corrosive, col-
orless gas and a powerful oxidizer, which immediately ignites many organic compounds. It also ignites many
metals at elevated temperatures, and reacts violently with water. Chlorine trifluoride is hypergolic, which
means that it ignites organic fuels on contact. No ignition source or air is required.
The installation of automatic sprinklers in gas cabinets containing chlorine trifluoride is not recommended
due to its extreme reactivity with water. The reaction products with water include hydrogen fluoride, chlorine
dioxide, hydrogen chloride and other hazardous by-products. In the event of a release, water is the major

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 7

reaction source for chlorine trifluoride because it is normally readily available in the surroundings. Expo-
sure to chlorine trifluoride in the presence of a relative humidity of 50% has been shown to cause signifi-
cant corrosion in a short period of time to materials.
Since chlorine trifluoride decomposes instantaneously when exposed to atmospheric conditions (moist air),
the compound in its original form cannot be monitored or detected. The presence of chlorine trifluoride must
be sensed through one of its by-products.
Electrochemical detectors or paper tapes are two methods being successfully used to detect chlorine trifluo-
ride through its by-products. Hydrogen fluoride (HF) is the major by-product of chlorine trifluoride reactions
with moist air, however, detectors based on hydrogen fluoride do not have the capability to sense very low con-
centrations of HF (less than 0.1 ppm). For this reason, detectors calibrated for HF should only be used to
detect high quantity chlorine trifluoride leaks. In critical areas where life safety is required, detectors calibrated
for chlorine dioxide provide the most accurate indication of chlorine trifluoride.
Detection based on HF, HCL, chlorine or fluorine are not recommended as they will not provide accurate
detection at TLV or sub-TLV values of chlorine trifluoride.
In air, chlorine trifluoride reacts rapidly with oxygen and water to form highly toxic and corrosive products,
such as hydrogen fluoride, hydrogen chloride, fluorine, chlorine and chlorine dioxide.

2.1.1.5 Hydrogen
Hydrogen gas is widely used and is the primary carrier for the dopant gases such as silane, phosphine, ars-
ine, diborane, etc. It can be found in both cylinder and cryogenic form. Even though the flammable and explo-
sive properties of hydrogen are well documented, there have been numerous adverse incidents involving
this gas. These incidents generally involve some kind of leak and ignition of the gas by many different sources.

2.1.2 Photoresist, Developer and Rinse


‘‘Photoresist,’’ its developer, and rinse make up the largest volume of flammable liquids used within the
semiconductor fabrication area. A list of flammable/combustible liquids used in fabrication can be found in
Table 3. The handling of flammable photoresist, developer and rinse in plastic containers represents a severe
fire hazard. Large scale fire tests by FM Approvals have shown flammable liquids in plastic containers to
be a severe fire hazard and special fire protection is warranted in accordance with Loss Prevention Data Sheet
7-29.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 8

Table 3. Flammable and Combustible Liquids Used in Fabrication


Solvent Name Classification
Acetone IB
Butyl Acetate IC
Chlorobenzene IB
Developer Ethylene Glycol IIIB
Ethyl Lactate IB
Ethylene Glycol Monomethyl Ether II
Formaldehyde IIIA
HMDS (Hexamethyldisilazane) IC
Isopropyl Alcohol IB
Methyl alcohol IB
Methyl Ethyl Ketone IB
Methyl Isobutyl Ketone IB
N-Methyl Pyrrolidone II
Phenol IIIA
Photoresist IB, IC
Propanol IB
Tetraethylorthosilicate (TEOS) II
Toluene IB
1,1,1-Trichloroethylene IIIB
1,1,1-Trichloroethane IIIB
Trichlorobenzene IIIB
Xylene IC

The storage of flammable/combustible photoresist, developer and rinse within the fabrication area creates
an unnecessary exposure to the cleanroom and process tools. If storage of these liquids inside the clean-
room is absolutely necessary, such storage should be arranged in accordance with Section 2.2.5 of the Data
Sheet 7-7/17-12.
The developing, rinsing, and etching portions of the fabrication process are typically performed in plastic
work stations called wet benches (Figs. 17 and 18 in Data Sheet 7-7/17-12). Process liquids (both flam-
mable and nonflammable) are often heated by using hot plates, electric immersion heaters, liquid heat trans-
fer systems or steam heated bench inserts; more modern wet benches may use in-line, infrared heaters
which are safer.

2.1.3 Plastic Wet Benches


There have been numerous and very costly fires involving the ignition of plastic wet benches by immersion
heaters and hot plates. Once the plastic wet bench is ignited, the fire is usually drawn into the fume exhaust
ductwork system. Depending on the combustibility of the ductwork, a fire involving the ductwork will then
develop.

2.1.4 Plastic Ductwork


Plastic ductwork, such as fiberglass reinforced polyester (FRP), polyvinyl chloride (PVC), and polypropy-
lene (PP) have been typically used to exhaust fumes of corrosive and flammable vapors and gases. In addi-
tion, fume exhaust systems have scrubbers constructed of FRP and PVC. During the doping and deposition
processes (Table 4), unreacted silane and hydrogen gas are sometimes exhausted directly into the plas-
tic ductwork. Numerous duct fires have started when unreacted silane and hydrogen gas ignited inside the
ductwork. These fires have damaged from 1 to 100 ft (0.30 to 30.5 m) of ductwork. The amount of damage
depends on the combustibility of the ductwork, intensity of the ignition source, size of the duct, physical
arrangement (horizontal/vertical) of the ductwork system, presence or absence of combustible vacuum pump
oil condensate and, most importantly, the presence or absence of internal automatic sprinkler protection.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 9

Table 4. Process Reactions


Chemical Vapor Deposition
Silicon Nitride
3 SiH4 + 4 NH3 → Si3N4 + 12 H2
Silane Ammonia Silicon Nitride Hydrogen
3 SiH2Cl2 + 10 NH3 → Si3N4 + 6 NH4Cl + 6 H2
Dichlorosilane Ammonia Silicon Nitride Ammonium Hydrogen
Chloride
Poly Silicon
SiH4 + Heat → Si (poly) + 2 H2
Silane Heat Polysilicon Hydrogen
Silicon Dioxide
SiH4 + 4 CO2 → SiO2 + 4 CO + 2 H2O
Silane Carbon Silicon Dioxide Carbon Water
Dioxide Monoxide
SiH4 + CO → SiO2 + 2 H2
Silane Carbon Silicon Hydrogen
Monoxide Dioxide
SiH2Cl2 + 2 N2O → SiO2 + 2 N2 + 2 HCl
Dichlorosilane Nitrous Oxide Silicon Nitrogen Hydrogen
Dioxide Chloride
Epitaxy
Pyrolytic Decomposition of Silane
SiH4 → Si + 2 H2
Silane Silicon Hydrogen
Reduction of Dichlorosilane
SiH2Cl2 → Si + 2 HCl
Dichlorosilane Silicon Hydrogen
Chloride
Hydrogen Reduction of Trichlorosilane
SiHCl3 + H2 → Si + 3 HCl
Trichlorosilane Hydrogen Silicon Hydrogen
Chloride

Various studies and loss experience have shown that if the fume exhaust ductwork does not collapse dur-
ing a fire, the fume exhaust system will effectively remove smoke and heat. However, if the ductwork col-
lapses, smoke contamination of the cleanroom is usually widespread. Once products of combustion are
released from a collapsed duct, the cleanroom recirculating air system will pick up these products, and dis-
tribute them throughout the cleanroom in seconds. The need to keep the fume exhaust ductwork intact is criti-
cal. (See Section 2.4. FM Approved Duct Systems.)

2.1.5 Vacuum Pumps


Many of the semiconductor process reactions are performed under a vacuum as shown in Table 5. These
include low pressure chemical vapor deposition and epitaxy. Vacuum pumps typically induce a vacuum on the
process chamber while the source gas is injected into the chamber for deposition. A problem exists when resi-
due hydrocarbon pump oil mist collects in the exhaust ductwork and ignites by an ignition source such as
unreacted silane gas. This scenario has resulted in several high dollar loss fires in past years.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 10

Table 5. Vacuum Applications Used in Fabrication


Fabrication
heat treat (vacuum chucks and ovens)
photoresist coat/softbake (vacuum chucks and ovens)
align and expose (vacuum chucks)
develop (some dry vacuum processes)
hardbake (vacuum chucks and ovens)
etch (plasma etch—vacuum)*
photoresist strip (plasma O2—vacuum)
Deposition/Growth/Dopants
low pressure CVD (vacuum)*
plasma-enhanced LPCVD (vacuum)*
photochemical LPCVD (vacuum)*
low pressure epitaxy (vacuum)*
ion implant (vacuum)*
Metalization
evaporation (vacuum)
sputtering (vacuum)
low pressure CVD (vacuum)
Thermal Oxidation
low pressure (vacuum)*
Anneal/Drive-In
low pressure furnace (vacuum)
rapid thermal process (vacuum)
laser annealing (vacuum)
*Process may use flammable/pyrophoric gases

2.1.6 Ion Implanters


Ion implanters (Fig. 23 in Data Sheet 7-7/17-12) are used to modify surface characteristics of silicon wafers
by accelerating dopant ions of various materials to embed them into the surface of the silicon wafer. The
total voltage of the ion source with respect to ground determines the energy of the ions, which in turn deter-
mines the depth of penetration of the ions into the wafer.
Ion implanters are located in the cleanroom. The working components of an implanter are surrounded by
an enclosure usually of sandwich panel construction consisting of a conductive inner surface, a plastic or balsa
wood core, one or more thin layers of lead shielding and a plastic composite exterior.
Most implanters utilize one or more transformers to deliver ac power and high voltage dc (HVDC) to sections
of the implanter which are not at ground potential.

2.1.6.1 Ion Implanter HVDC Power Supply


The HVDC required by the implanter are produced by power supplies within the enclosure. The HVDC power
supply transformer may be either oil filled or dry type and is usually rated at 5 to 10 kVA. If oil filled, it may
contain from 20 to 40 gal (75 to 151 liters) of oil. Since the high voltage power supply provides the HVDC,
it must remain in the ion implanter enclosure. If the power supply includes an oil filled transformer, the best
solution is to replace the transformer with a dry type transformer.

2.1.6.2 Ion Implanter Isolation Transformer


The purpose of the isolation transformer is to isolate the ac power input from the high dc voltage section of
the ion implanter. The transformers have ratings from 5 to 75 kVA. They may operate with isolation volt-
ages in excess of 100 kV between their primary and secondary windings. These transformers are mineral
oil insulated and may contain from 15 to 200 gal (57 to 757 liters).
Nominal ac input is 208 V or 480 V. The transformer secondary voltage is usually 208 V ac plus the dc bias.
The transformer secondary neutral is connected to the sections of the implanter which are not at ground
potential (electrostatic shield) and whose potential is at 100 kV or higher. The total transformer secondary
voltage is therefore 208 V ac biased at 100 kV dc or higher.
Mineral oil filled power supply and isolation transformers used in ion implanters do not have ANSI standard
nameplates and do not appear to be constructed to any ANSI transformer standard. The transformers may
not have a pressure relief device. They may not have an oil sampling valve where oil samples could be pulled

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 11

for dielectric and dissolved gas in oil analysis. Isolation transformers experience both high temperatures and
high voltages so testing to detect gassing is critical. The transformer tank withstand strength is unknown. Iso-
lation transformers with a 208 V primary are electrically protected by 240 V circuit breakers similar to what
is used in the home. These breakers may have interruption capability as low as 10,000 amps. Fault cur-
rents higher than this may occur and breakers of larger interrupting capability will be required. Current limi-
tation is not provided on the primary. Ground fault protection is not feasible on the secondary because the
transformer neutral is connected to the HVDC.

2.1.6.3 Ion Implanters — National Electric Code (NEC) Requirements for Transformers
Oil insulated transformers installed indoors must be installed in accordance with the provisions of the NEC.
The following is quoted directly from NFPA 70-1996, National Electrical Code, Article 450 Transformers and
Transformer Vaults:
Article 450-26. Oil-insulated Transformers Installed Indoors. ‘‘Oil-insulated transformers installed indoors shall
be installed in a vault constructed as specified in Part C of this article.’’ There are several exceptions to this
rule. Exception 1 and 2 may be applicable.
‘‘Exception No. 1: Where the total capacity does not exceed 112.5 kVA, the vault specified in Part C of this
article shall be permitted to be constructed of reinforced concrete not less than 4 in. (102 mm) thick.’’
‘‘Exception No. 2: Where the nominal voltage does not exceed 600, a vault shall not be required if suitable
arrangements are made to prevent a transformer oil fire from igniting other materials, and the total capac-
ity in one location does not exceed 10 kVA in a section of the building classified as combustible, or 75 kVA
where the surrounding structure is classified as fire-resistant construction.’’
The phrase ‘‘total capacity’’ in the above refers to adding the kVA of all of the transformers in the section
of a building. If one had 4 transformers each rated 30 kVA, the ‘‘total capacity’’ would be 120 kVA. A vault
in accordance with Article 450, Part C. Transformer Vaults of the NEC would therefore be required.
At the May, 1998 NFPA meeting an exception to NFPA 70, National Electric Code, Article 450 ‘‘Transformers
and Transformer Vaults’’ was granted. This exception was submitted by the implanter manufacturers and
reads as follows:
‘‘Section 450-26, Exception No.4: A transformer that is an integral part of charged particle accelerating equip-
ment having a total rating not exceeding 75 kVA shall be permitted to be installed without a vault in a build-
ing or room of noncombustible or fire-resistant construction, provided suitable arrangements are made to
prevent a transformer oil fire from spreading to other combustible material.’’
This exception effectively allows oil filled ion implanter transformers up to 75 kVA rating to be allowed in a
cleanroom. By this exception, multiple implanters containing several hundred gallons of mineral oil each could
be located in the same room.
Changes to the exception may still result as it is currently being challenged.

2.1.6.4 American National Standard for Transformers - C57.12.22-1989


The following excerpted sections from the ANSI C57.12.22 – 1989 standard are provided below and form
the basis for the electric safeguard recommendations (see recommendation 2.5.13.1.2 in Data Sheet 7-7/17-
12) concerning oil filled transformers in ion implanters.
7.5.2 A replaceable valve shall be provided to relieve pressures that build up slowly in excess
of normal operating pressures. These excess pressures may be due to overloads, high ambient
temperatures, external secondary faults, and incipient faults in the low voltage winding. When
relieving these excess pressures, the valve shall emit only a negligible amount of oil. The valve
shall be furnished in the low-voltage compartment on the tank wall above the 140°C top oil level,
by the manufacturer’s calculation, and shall be located so as not to interfere with use of the low-
voltage terminals or the operating handle of the low-voltage circuit breaker. The inlet port shall
be ¼ inch or larger NPT ( or NF thread with gasket), sized for specified minimum flow rate. Exposed
parts shall be of weather-and corrosion-resistant materials. Gaskets and O-rings shall with-
stand oil vapor and 105°C temperature continuous under operation conditions as described in
ANSI/IEEE C57.91-1981 and ANSI/IEEE C57.92-1981, without seizing or deteriorating, for the life
of the transformer. The valve shall have a pull ring for manually reducing pressure to atmo-
spheric using a standard hook-stick and shall be capable of withstanding a static pull force of 25 lb

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 12

(11.34 kg) for one minute without permanent deformation. The valve shall withstand a static force
of 100 lb (45.36 kg) for one minute applied normal to its longitudinal axis at the outermost extrem-
ity of the body. When specified, the venting port, on the outward side of the valve head set, shall
be protected to prevent entry of dust, moisture, and insects before and after the valve has actu-
ated; or a weather-cap-type indicator shall be provided, which will remain attached to the valve
and provide positive indication to an observer that the valve has operated. Venting and sealing
characteristics shall be as follows:

Cracking pressure: 10 psig ± 2 psig


Resealing pressure: 6 psig minimum.
Zero leakage from resealing pressure to –8 psig.
Flow at 15 psig: 35 SCFM minimum (where SCFM
is flow at cubic feet per minute corrected for air
pressure of 14.7 psi and air temperature of ° 21.1C)

7.6 Tanks

7.6.1 The tank shall be of sufficient strength to withstand a pressure of 7 psig without perma-
nent distortion; and 15 psig without rupturing or affecting cabinet security as described in ANSI
C57.12.28-1988. A 1-inch NPT upper plug (or cap) for filling and pressure testing shall be pro-
vided in the low voltage compartment. A 1-inch NPT drain plug (or cap) for transformers rated
75-500 kVA and 1-inch NPT drain valve with built-in sampling device for transformers rated 750-
2500 kVA shall be provided in the low-voltage compartment. Suitable means for indicating the cor-
rect liquid level at 25°C shall be provided.

2.1.6.5 Ion Implanter Loss Experience


No fires have been reported in mineral oil insulated transformers in cleanrooms. However, all of the major
fire loss experience in the five year period analyzed in Data Sheet 5-4 Transformers has involved mineral oil
insulated transformers. During this period there were 13 fires involving mineral oil filled transformers inside
buildings. In all but four incidents, damage was limited to the transformer, adjacent cable and switchgear.
In four incidents damage was substantially larger than expected. One incident involved damage to the auto-
matic sprinkler system. The loss of protection resulted in damage to switchgear and cable in this large room.
In a second incident, wall and ceiling penetrations were not sealed. This resulted in fire and smoke dam-
age to an MCC room above the transformer room. The other two incidents involved PCB contamination. The
cost of cleanup of PCB contamination in an industrial facility would probably be on the order of magnitude
of the cost of cleanup of heavy smoke deposits in a cleanroom.

2.1.7 Diffusion
The high process temperature (1652°F–2372°F [900°C– 1300°C]) and use of process gases such as
phosphine, arsine, diborane, boron trichloride in a hydrogen carrier makes diffusion one of the most hazard-
ous processes in the manufacture of semiconductor devices. A vertical furnace used in the diffusion pro-
cess is shown in Figure 24 (see Data Sheet 7-7/17-12). Numerous adverse incidents have occurred and
generally business interruption was considerable since diffusion is the workhorse of the doping process.
These incidents included ignition of unreacted pyrophoric and/or flammable gases, ignition of combustible
vacuum pump oil residue and backstreaming of vacuum pump oil. A foreline trap or antibackstreaming device
should be installed between the vacuum pump and quartz tube in all diffusion furnaces where backstream-
ing is thought to be possible. This device is an optically dense, wool type filter barrier reinforced with cop-
per or stainless steel mesh. The filter will cause the oil to condense and drop back into the vacuum pump.

2.1.8 Spill Hazard


The use of hydrofluoric, sulfuric, hydrochloric, nitric and other acids constantly presents a spill hazard
potential. Certain cleanroom designs utilize a perforated raised floor and/or an open waffle slab (see Figs.
4 and 5 in Data Sheet 7-7/17-12). A spill of these acids through such open floors could contaminate the clean-
room via the recirculating air system or cause corrosion damage to equipment below. In addition, a spill of
flammable liquids through open floors could result in a flammable liquids fire below the floor.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 13

2.2 Fire Hazards of Wet Benches


Wet benches (see Figs. 17 and 18 in Data Sheet 7-7/17-12) are used in the semiconductor industry for the fab-
rication of integrated circuits. Due to exposure to atmospheres which corrode metal, wet benches are typi-
cally constructed from plastic material; polypropylene (PP) or fire-retardant polypropylene (FRPP) have been
commonly used in the United States. Polyvinylchloride (PVC) is commonly used in Japan and is increas-
ingly being used in facilities operated by Japanese companies in the United States. Wet benches also con-
tain a considerable amount of electrical equipment which represent a potential ignition source. Over the past
10 years, 40 wet bench fires have been reported to FM Global.

2.2.1 Fire Tests Conducted by FM Approvals on Wet Benches


FM Approvals has conducted extensive fire testing on plastic wet benches to evaluate fire propagation and
fire suppression. For these tests, 8 ft (2.4 m) long, open face-style wet benches were used.
The first of these tests was a free burn test conducted under the FM Approvals fire products collector. The
objective of these tests was to evaluate fire propagation within a bench constructed of polypropylene once
ignition had been established in the bench. This test showed that fire developed rapidly after an incuba-
tion time of approximately 10 minutes. Peak heat release rate exceeded 10 MW and the entire bench was con-
sumed during the test. Figure 2 shows the bench at peak fire involvement.

Fig. 2. Wet bench free burn test.

Fire suppression tests were conducted on wet benches placed in a mockup cleanroom facility constructed at
FM Global Research. In this facility, typical cleanroom ventilation and wet bench exhaust systems were
installed, so that typical air velocities and flow rates could be maintained in both the room and wet bench.
All fire suppression tests were conducted with the room ventilation system and wet bench exhaust system in
full operation. Three different fire suppression systems were tested: fine water spray (FWS), carbon diox-
ide (CO2), and FM-200. These suppression systems were tested with fires of different sizes placed at the
bench working surface and subsurface areas. Fire tests were also conducted with FWS in unventilated

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 14

spaces. Results of these tests were successful and formed the basis for design and installation protection cri-
teria offered for each of these systems in this data sheet.

2.3 FM Approvals Cleanroom Materials Flammability Test Protocol (Class 4910)


FM Approvals has developed a specification test standard titled FM Approvals Cleanroom Materials Flam-
mability Test Protocol (Class 4910). This standard evaluates the fire hazard of materials used in environ-
ments which are highly sensitive to thermal and nonthermal damage, such as the interiors of cleanrooms
in the semiconductor industry. All requirements in the standard must be met for materials to be acceptable
in cleanrooms.
The protocol uses three small-scale tests and a large-scale validation test if needed. Small-scale tests
performed in the FM Approvals Flammability Apparatus are:
Ignition Tests
Fire Propagation Tests
Combustion Tests
This is a performance-based test protocol. Based on results of the three small-scale tests, the following
indexes are determined for each material tested:
1. Fire Propagation Index (FPI): this index is determined based on the fire propagation tests conducted and
represents the rate at which the surface of the material is involved on fire. Nonpropagating materials have
FPI values at or below 6.0 (m/s1/2)/(kW/m)2/3.
2. Smoke Development Index (SDI): this index is defined as the product of the FPI index and the yield of
smoke for a given material. SDI is an indicator of the smoke contamination of the environment expected during
fire propagation. Materials expected to limit smoke contamination have SDI of 0.4 [(m/s1/2)(g/g)(kW/m)2/3]
or less.
Materials that are FM Approvals Specification Tested to meet the flammability protocol criteria require high
heat fluxes to be ignited; once ignited these materials may burn locally in the ignition area, but they will not
propagate a fire beyond the ignition zone. Smoke and corrosive products generated from the combustion
of these materials is reduced, minimizing nonthermal damage.
Table 6 lists material nomenclature and use in cleanrooms.

Table 6. Material Nomenclature and Use


Plastic Use in Cleanrooms
Polypropylene (PP) wet benches, ductwork, wafer boxes, process equipment enclosures, wall panels
Fire Retardant Polypropylene (FRPP) wet benches, process equipment enclosures
Polyvinylchloride (PVC) wet benches, ductwork, process piping, process equipment enclosures
Polyvinylidene Fluoride (PVDF) process piping, chemical baths
Polyether ether ketone (PEEK) wafer carriers
Fiberglass Reinforced Plastic (FRP) ductwork, scrubbers, wall panels
Polycarbonate (PC) mini-environment enclosures, valve manifold boxes, wafer boxes
Polymethymethacrylate (PMMA) mini-environment enclosures, valve manifold boxes
Polyethylene (PE) process piping, process equipment enclosures, wafer boxes
Perfluoroalkoxy (PFA) process piping, chemical baths
Polytetrafluoroethylene (PTFE) wet benches, coating on stainless steel ductwork
Polyphenylene Oxide (PPO) exhaust ducts
Polyoxymethylene, or Delrin (POM) not used in cleanrooms except for fire protection fine water spray nozzles

2.4 FM Approved Duct Systems


FM Approvals approves duct systems designed for general purpose use in exhausting noncombustible cor-
rosive fumes, vapors and/or smoke. In cleanrooms of the semiconductor industry FM Approved Duct Sys-
tems can be utilized without the need for automatic sprinkler protection subject to the restrictions shown in
the Approval Guide, a publication of FM Approvals.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 15

FM Global Research does not limit vertical runs of duct to any particular length. FM Global Research limits
the height of the riser to the actual height that was tested. While most manufacturers have chosen to test
15 ft (4.6 m), several manufacturers have successfully tested risers longer than 15 ft (4.6 m) as shown in the
Approval Guide.
Compatibility of the duct system for the end use application is determined by the manufacturer of the duct sys-
tem; however, further investigation is underway into the methods used to determine the compatibility of duct
systems to the end use application. This is necessary because of the many variables and the lack of
consistent pass/fail criteria used in industry today.
While there have been no failures documented by FM Global for Approved ducts used and installed as
described in this data sheet, failures of FM Approved ducts have been reported when the duct system was
used to handle corrosive liquids or when condensate was allowed to accumulate in the duct system; fail-
ures have also been reported for duct systems installed with improperly prepared joints. In both these con-
ditions, the duct systems were being utilized outside their intended use or were not installed according to
the manufacturers’ recommendations.

2.5 Fire Hazards of Stockers


Stockers (see Fig. 22 in Data Sheet 7-7/17-12) are self-contained storage units located inside cleanrooms.
Stockers are used for storage of in-process and finished wafers and masks. Wafers are commonly stored
in plastic boxes which are placed in open storage shelves along the side walls of the stocker. Wafer boxes
are normally arranged one deep in each tier; each tier is approximately 1 ft (0.30 m) high and the storage nor-
mally fills the entire height of the unit. Masks are typically stored in clear plastic cases also placed in a shelf
arrangement.
Wafer stockers typically have a total width of 4.0 ft (1.2 m), a total height of 12 ft (3.6 m) with lengths vary-
ing for 8 ft (2.4 m) to 18 ft (5.4 m), normally in 2 ft (0.60 m) increments. Mask stockers are typically smaller
than wafer stockers.
Abundant fuel (the plastic boxes) is present in wafer and mask stockers and the most likely ignition sources
are from electrical equipment and components inside the stocker.
Protection guidelines for stockers are based on full scale fire tests conducted by FM Approvals on a simulated
wafer stocker.

2.6 Silane Gas


Silane (SiH4) is a pyrophoric gas whose mixture with air can self ignite at room temperature. Silane can be
found in gas cabinets and open manifold racks and is used in various processing tools such as furnaces
and epitaxial reactors.
FM Global Research has conducted novel studies on the behavior of accidental releases of 100 percent
silane and a 10 percent mixture of silane and nitrogen inside enclosures. These studies have dispelled sev-
eral myths about silane behavior and have shown that self ignition of silane following an accidental release
is a complex phenomenon, governed by many variables such as the line pressure and diameter, and size
and geometry of the release. Self ignition of the gas immediately following an accidental leakage (start-up)
or at flow shut-off is expected to occur, for example, in about 50 percent of those cases where the release
is from a 1/4-in. (6.4 mm) diameter line with pressures within 100 to 300 psig (7 to 21 bars). The ignition prob-
ability increases significantly at line pressure below 50 psig (3.5 bars). The studies have also shown that
self ignition may not occur at all times immediately following an accidental release of silane or silane mix-
tures. Mixtures that do not immediately ignite following an accidental release may self ignite at flow shutoff
or, if the concentration is allowed to go beyond critical values, unstable mixtures may be formed which will
result in bulk autoignition with catastrophic consequences.
The recommendations in this data sheet cover all ignition scenarios that might occur during accidental
releases of silane. When applied, the recommendations will limit damage to the cabinet of origin. Compliance
with the recommendations will help control the maximum pressure rise inside the enclosure and exhaust
duct system following self ignition of the gas at flow startup or at flow shutoff. Compliance with the recom-
mendations will also prevent silane concentrations from reaching critical limits where the mixture is unstable
and bulk autoignition would occur.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 16

Accidental releases followed by self ignition of the gas will generate a pressure rise inside the enclosure
and a localized fire that can be kept confined to the cabinet of origin by installing automatic sprinkler protection
inside the gas cabinet per section 2.2.12, Process Gas Cabinets in Data Sheet 7-7/17-12.
Gas cylinders containing silane are required by code (Uniform Fire Code and others) to be equipped with
a restrictive flow orifice (RFO) in the CGA fitting. The RFO is intended to limit the flow of gas in the event of
a failure of the pressure regulator. Current code requirements are for RFO’s with a diameter of 0.010 in.
(0.25 mm) or less.
The work conducted by FM Global Research has provided new insights on the behavior of silane and on
the RFO effects on the accidental discharge of a line. Based on the results of this work and, on the current
trend in the industry for better usage of silane, the use of RFOs with diameters of 0.020 in. (0.50 mm) is
likely in the near future.

2.7 Electrical Exposure


Clean and reliable electrical power (see Fig. 6 in Data Sheet 7-7/17-12) is the most critical utility at a semi-
conductor facility. Because most process tools are microprocessor controlled, a voltage dip of more than
10% (nominal voltage), lasting for more than 5-10 cycles will cause the process tools to abort their cycle run.
This will typically result in spoilage of any wafers in the tool, in addition to downtime to return the tool to pro-
duction. This could take from a few minutes to 12 to 48 hours depending on the type of tool. Tools with cryo-
genic pumps, as well as steppers generally take the longest to restart.
These voltage dips can be caused by utility switching operations, recloser operation, or on-site electrical
equipment failures. These can also result in total power outage to the plant or a portion of the plant. There
are various choices of technology combinations available today for critical power users. Nearly all configu-
rations will satisfy the need to protect critical loads by isolating them from disturbances coming from the util-
ity supply grid.
The bottom line goal is that no failure of a single piece of equipment (transformer, cable, breaker and/or switch-
gear line-up, junction box, etc.) should result in extended downtime of the fab, while maintaining clean stable
power to the production tools and facilities equipment.
Fab production demands often require that these facilities operate 365 days a year without any major shut-
downs. If this is the case, the electrical system will have to be designed such that all electrical system main-
tenance can be performed with no disturbance to operation of the fab. This means all areas of the electrical
system can be shut down and power can still be fed to the fab through redundant equipment, thus produc-
tion can continue.

2.8 Deionized (DI) Water Systems


DI water is different than most other water systems. Because this is ultrapure water, it can only be stored
for about 6 to 8 hours before it becomes contaminated with bacteria to the point that it cannot be used. For
this reason, there will be no large storage tanks of final DI water and this water must be produced on a
continual basis.

3.0 PROCESS OVERVIEW


Producing a state-of-the-art semiconductor device, also known as an integrated circuit (IC) or ‘‘chip,’’ is truly
an extraordinary process. Silicon, a fundamental component of sand, is a tetravalent, nonmetallic element
that occurs in combined form as the earth’s second most abundant element next to oxygen. It is pro-
cessed through several hundred steps into devices which are used in a wide range of applications.
Silicon has the same crystalline structure as a diamond, but it is only as hard as glass. It is also a semicon-
ductor which means it is halfway between a conductor which carries electricity easily (like the copper wire
used in domestic lighting circuits) and an insulator which prevents electricity from flowing (like the plastic
sheath around the wires). Its conductivity can be easily altered by adding minute ‘‘dopants’’ to its crystal-
line structure. Other semiconductor materials include gallium arsenide, germanium, indium arsenide and a
combination of sapphire and silicon. The use of silicon is currently the most popular, but gallium arsenide tech-
nology is rapidly gaining popularity. This is because gallium arsenide can move electricity faster than sili-
con and can generate light impulses, which silicon cannot do.
Flow and system diagrams of semiconductor fabrication are shown in Figures 3 and 4.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 17

Notes:
1. Optional step, almost all facilities purchase wafers from an outside supplier.
2. Masks may be supplied from an outside supplier.
3. Mask may be replaced with direct writing on wafers (not very common).
4. Most of the time these operations are performed at other facilities.

Fig. 3. Flow diagram of semiconductor fabrication.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 18

Fig. 4. Semiconductor fabrication facility systems diagram.

In addition to the production of electronic circuits, electro-optical and electro-magnetic devices are also pro-
duced. These devices are made on wafers in cleanrooms with similar processes. Photocells for convert-
ing light energy to electrical energy and sensors for measuring UV, visible, and IR electromagnetic waves
are made using the deposition, photolithography, and etching processes. Electromagnetic devices, such as
read-write heads for magnetic disc drives are also made using similar processes in cleanrooms.
Crystal production involves the growing of silicon crystals in electrically heated, argon-atmosphere vacuum
furnaces operating at a temperature above 1400°F (760°C). As with all crystal growing, a seed crystal is
required to set the process in motion. When the growing process is complete, the silicon ingot is brought to
room temperature and the seed is removed from the crystal. Years ago the diameter of the ingot was only
1/2 in. (13 mm). Six in. (150 mm) and 8 in. (200 mm) ingots are common today and 12 in. (300 mm) ver-
sions are in the development stages.
In the cut and grind operation, the ends of the polysilicon crystal are removed and the uneven exterior is
ground to achieve uniformity. The silicon ingot is then sliced into wafers. This can be done using either
multiwire saws that make numerous cuts at once, or with a diamond edge circular saw. These slice the ingot
into 14 to 30 mil (0.36 to 0.76 mm) wafers. (This is about the thickness of a business card.) About 28 wafers
are cut from each inch of the ingot.
After slicing, the wafers are lapped to remove the saw marks. The wafers are mounted to the equipment
which features an abrasive slurry on a revolving disc. An acid etch process performed in plastic wet benches
follows to remove the lap marks.
Wafers are then polished with a diamond paste to a mirror-like finish. Finally, the wafers are either given a
thin surface layer of silicon dioxide in an oxidation furnace (metal oxide semiconductor [MOS] process) or sili-
con in a epitaxial reactor (bipolar process). At this point, the wafers are ready for building the circuits on

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 19

the silicon substrate. Most chip manufacturers purchase wafers from an outside supplier, but some facili-
ties make a small portion of wafers needed for processing.
Gallium arsenide crystal is more brittle and it is more difficult to grow a single crystal than silicon; so 2 in.
(50 mm), 3 in. (75 mm), and 4 in. (100 mm) wafers are typically used. Gallium arsenide circuits are some-
times grown on germanium wafers due to the lower cost of germanium.
Mask production involves transferring a large circuit drawing to a glass plate called a mask. The mask con-
tains hundreds of exact reproductions of the original art work and is used later to recreate the pattern on
the wafer surface. Each mask contains the pattern for a single layer of the circuit, so many masks are used
to fabricate the entire integrated circuit or chip. The mask surface may be an emulsion, chrome, iron oxide
or silicon monoxide. Most masks are fabricated from chrome on glass. Two different techniques used to pro-
duce masks are known as reticle and electron beam technology.
The circuit design process starts with a determination of the functioning of the circuit. A logic diagram of the
circuit is developed and then translated to a schematic diagram which shows the location of the various com-
ponents. The circuit components are then translated to their relative final dimensions, as they will be formed
in and on the wafer surface. A sophisticated computer-aided design (CAD) system then draws a composite
picture of the circuit surface showing all of the sublayer patterns.
The reticle is a miniaturized reproduction of one layer of the circuit. The actual size of the pattern on the
reticle is normally ten times the final size (10 X) of the pattern on the wafer. A reticle is an emulsion or chrome
photo plate that is selectively exposed to light in a pattern generator. The computer tape from the digitiz-
ing operation instructs the shutter system to open and close, exposing the reticle in the exact pattern of the
original drawing.
The pattern on the reticle is transferred to the mask in the step and a repeat operation. The reticle is posi-
tioned over one corner of the photoresist coated mask blank and a light source transfers the pattern on the
reticle into the photoresist. After the first pattern is transferred, the machine ‘‘steps’’ the reticle to the next posi-
tion and repeats the pattern in the next location. This process continues until the entire mask surface is filled
with the reticle pattern.
Electron beam technology is used to make masks which produce more advanced circuits. An electron beam
writer is similar to a scanning electron microscope. The coated mask is placed in a vacuum chamber and
an electron beam directed at it. The pattern information stored on the tape at the digitizing operation is used
to direct the electron beam to the correct locations to expose the photoresist. The pattern is written onto
the mask without a reticle.
The fabrication or main part of the process involves repeated steps of photoresist, masking, etching, doping,
and deposition. These processes are typically performed in cleanrooms. Photoresist and its developer are
the largest volume solvents within the fabrication area. Negative photoresist is a photosensitive polymer sus-
pended in a flammable organic solvent base such as xylene or toluene. It is used to coat the wafer in prepa-
ration for transferring the pattern of the circuit from the mask to the wafer. The wafers are coated by
dispensing a small quantity of photoresist on the wafer and rapidly rotating on a ‘‘spinner’’ which spreads a
thin uniform layer. Photoresist materials are classified as either negative or positive resists, depending on
whether the solubility in the developer decreases (negative) or increases (positive) upon exposure to a UV
light source. Since photoresist is sensitive to light, it is shipped, stored and dispensed to the areas in brown
glass or plastic bottles.
Photoresist adjuncts, a variety of chemical liquids and gases, are used to promote the adhesion of the photo-
resist coating to the wafer. Hexamethyldisilazane (HMDS) is the most widely used chemical for adhesion
and is spun onto the wafer surface prior to photoresist application.
After the wafers are coated with photoresist, they are ‘‘soft baked’’ to evaporate a portion of the solvents in
the photoresist. Methods used to soft bake include hot plates and the following different type ovens: con-
vection, vacuum, moving belt IR, microwave and conduction belt. After the baking has been concluded, the
actual photomask process takes place.
Photomasking is a process of alignment and exposure. The different types of equipment used for this pro-
cess can vary in size, overall appearance, method of operation and equipment cost. This equipment includes
contact aligners, projection aligners, and wafer steppers. The function is the same in that the wafer is placed
onto this machine and a specific patterned ‘‘mask plate’’ is placed over the wafer. The wafer is then aligned

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 20

with the mask plate, and then exposed through the action of the shutter of the machine opening to allow ultra-
violet light to hit the unmasked portion of the wafer.
After the wafer has been aligned and exposed, the next step is developing. In developing a wafer, a machine
similar to a ‘‘spinner’’ is used. The developing is done by chemicals which are sprayed down onto the wafer.
This spray washes away the nonexposed resist (areas where the light was not allowed to pass through the
mask plate) while the exposed or ‘‘polymerized’’ resist remains. The preferred developing chemical for nega-
tive photoresist is xylene. A Stoddard solvent may also be used in certain cases. Positive photoresist is devel-
oped in an alkaline solution, such as potassium hydroxide or sodium hydroxide.
Other flammable solvents are also used in the wafer fabrication process. Butyl acetate and isopropyl alco-
hol will be used as washes for wafers after they have been developed with negative resist. D.I. water is more
commonly used with positive photoresist as a post develop wash.
Etching removes layers of silicon dioxide, metals and polysilicon as well as resists, according to desired
patterns delineated by the resist. The two major categories of etching are wet and dry chemical. Wet etch-
ing is predominantly used and involves solutions containing the etchants (usually an acid mixture) at the
desired strengths, which react with the materials to be removed. Plastic wet benches and plastic fume exhaust
ductwork are typically used in wet etching operations (Figures 17 and 18 of Data Sheet 7-7/17-12). Dry etch-
ing involves the use of reactive gases (hydrogen chloride, ammonia, etc.) under vacuum in a highly ener-
gized chamber, which also removes the desired layers not protected by resist.
To form the junctions where current will flow, a controlled number of impurities or dopants must be intro-
duced into a selected region of the wafer either by diffusion or ion implantation. Diffusion is a high tempera-
ture (1652°F to 2372°F [900°C to 1300°C]) process in which certain chemicals (dopants) are introduced into
the surface layer of the semiconductor material to change its electrical characteristics. Diffusion is the most
established method of applying dopant material. Ion implantation is a technique for doping impurity atoms into
an underlying substrate by accelerating the selected dopant ion towards the silicon target through an elec-
trical field. Ion implantation is often preferred over standard diffusion methods because it is more precise,
faster and less expensive. Annealing usually is required following ion implantation because of the struc-
tural damage caused by bombardment of the substrate by the accelerated ions.
The need for annealing after ion implantation led to the development of a technology called Rapid Thermal
Processing (RTP). This process, which takes place in seconds, eliminated the need for a minutes-long
process in a tube furnace, which had undesirable side effects of migration of dopant atoms within the wafer.
Also, every time a wafer is heated near diffusion temperatures and then cooled down, crystal dislocation
forms, which can result in circuit failures. In the single wafer RTP tool, radiation heating (usually from tung-
sten halogen lamps) is very rapid and the body of the wafer never comes up to temperature. Annealing can
take place without undesirable side effects. The trend to small feature sizes on wafers has also lead to thin-
ner layers. Thermally grown gate oxide layers now may be less than 100 Angstroms thick. RTO ( Rapid Ther-
mal Oxidation) tools are similar to the RTP annealing tools but have an oxygen atmosphere in the chamber
rather than an inert gas. RTP technology is now used in various oxide, nitride and silicon layer processes.
Deposition is the process of placing additional layers onto the wafer surface, either by epitaxial or chemical
vapor deposition (CVD). Chemical vapor deposition is the process of forming a thin film on a substrate by
the chemical reaction of various gases. CVD is usually promoted by heating the substrate, either at atmo-
spheric pressure, or low pressure (LPCVD). Epitaxy is the process of depositing a crystalline layer having the
same structure as the substrate. Epitaxy represents a special form of chemical vapor deposition. Often,
epitaxial layers are grown with intentionally added impurities such as boron or phosphorus. These change
the electrical conductivity of the crystalline silicon. Some of the more common process reactions can be found
in Table 6 of Data Sheet 7-7/17-12.
The photoresist, masking, etching, doping and deposition processes are repeated many times until the com-
plete circuit is produced.
After the final diffusion step, the devices which have been fabricated into the silicon wafer must be con-
nected together to perform circuit functions. This process is known as metalization. Metalization provides a
means of wiring or interconnecting the uppermost layers of integrated circuits by depositing complex patterns
of conductive material, which route electrical energy within the circuits. To do this, a conductive metal is either
sputtered or evaporated over the front of the wafer. A photoresist pattern is then aligned over the metal and
some of it is etched away, leaving the desired metal coverage. The most common metals used for metal-
ization are: aluminum, nickel, chromium, gold, copper, silver, titanium, tungsten and platinum.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 21

The final step in wafer form of integrated circuit manufacturing is testing. During the electrical test, (e.g.,
‘‘die sort,’’ ‘‘wafer sort,’’ ‘‘wafer probe’’), each circuit or die is tested for its ability to perform the operations
for which it was designed. As each die or chip is tested, a computer records certain information about it. If a
die is not acceptable, that is, if it fails any one or more of the tests, a small droplet of ink is automatically
placed on the die so that when the wafer is separated into individual die the bad or ‘‘inked’’ die can be
discarded.

3.1 Effluent Gas Conditioning Systems


After the various gases are used in the semiconductor manufacturing process, the resulting effluent must
be neutralized prior to discharge. Current disposal methods include dilution systems, scrubber systems,
adsorption systems and thermal processing systems.
Dilution systems lower the concentration of exiting gas streams by flooding them with an inert gas such as
nitrogen. Although dilution systems reduce the concentration of pyrophoric/flammable gases so they will not
burn, the systems are not reliable for handling unexpected surges and dumps which create higher than
expected gas flows.
Another problem with dilution systems is that the exiting gas stream can include combustible vacuum pump
oil that has been carried into the duct system. Since dilution systems cannot treat the vacuum pump oil, a sig-
nificant amount of oil can build up inside the ducts. This oil buildup provides a source of fuel which, when
ignited, has caused significant fire damage to ductwork. Even with demisters in the lines, oil will continue to
be carried in the exiting gas streams because demisters lose their removal efficiency over time.
Scrubbing is another method of conditioning the exhaust effluent. Scrubbers are grouped into two classifica-
tions. The first classification is a water scrubber used for conditioning exhaust streams containing water
soluble gases such as hydrogen chloride, ammonia, etc. The second classification is a chemical scrubber
used for conditioning exhaust streams containing gases which are nonsoluble in water such as silane, phos-
phine, arsine, etc. Chemicals such as sodium hydroxide or potassium permanganate are added to water
to form a solution which is effective for scrubbing gases which are not soluble in water.
Adsorption is the physical adhesion of gas molecules to the surfaces of solid substances with which they
are in contact. Generally, adsorption methods are useful for applications where only small quantities of mate-
rials are produced, because the capacity of adsorption systems typically lack the ability to process large
amounts of effluent in a short period of time. In addition, the adsorption medium needs to be recharged either
by replacing the medium, desorbing with heat or oxidizing the volatile organic compounds with ozone.
Thermal processing is a method of controlled combustion of the gaseous exhaust effluent. Commercial sys-
tems actively induce ignition of the spent process gases. These systems use heat to bring about ignition,
either with a heater element or by direct flame contact. There are also thermal processors which dispose of
volatile organic solvent vapors from flammable liquids such as acetone, isopropyl alcohol, etc.
Finally, burn-boxes are proprietary in-house burn chambers designed with the assumption that pyrophoric
materials will mix with air, and the desired burning will take place. However, there have been some unex-
pected and damaging results from using burn boxes. Some units have allowed spent gases to accumulate to
explosive levels and the damage has been extensive from the reactive force.

3.2 Cleanroom Overview


The fabrication or main part of the semiconductor manufacturing process is performed in Class 1–10,000
cleanrooms, see Figures 5 and 6. (Class number is the number of particles, 0.5 microns in size, per cubic
foot of air. In comparison, normal unfiltered air is the equivalent of Class 5 million and smoke is Class 1 bil-
lion and up.) The two basic methods of constructing a cleanroom are the built-in-place method and the modu-
lar method.
Built-in-place rooms are based on a custom design and all construction is on site. These rooms are the most
practical approach for larger, permanent installations. Prefabricated or modular construction uses manufac-
tured, modular components that can be connected to one another in a variety of ways. In either modular
or built-in-place construction, the mechanical systems must be custom designed and installed. The clean-
room air handling includes the air make-up system and the air recirculation system. There are many differ-
ent room arrangements. Figures 1 through 5 of Data Sheet 7-7/17-12 show the more common arrangements
being used today.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 22

Fig. 5. Clean bay service aisle.

The air flow velocity in the cleanroom ranges from 40 to 100 ft/min (0.20 to 0.51 m/sec). Typical air flow vol-
umes for new cleanrooms, whether recirculated at work stations, modules, or large global air systems, range
from about 20 to 50 cfm/ft2 (0.57 to 1.4 m3/min) of cleanroom. This assumes that 60 percent of the clean-
room is a service corridor with less stringent requirements.
The method of returning the air from the cleanroom to the recirculation fans is accomplished by sidewall
vents (Figs. 2 and 3 of Data Sheet 7-7/17-12), a perforated raised floor (Fig. 4 of Data Sheet 7-7/17-12),
or a perforated raised floor opening into a basement plenum (Fig. 5 of Data Sheet 7-7/17-12).
Sidewall return refers to the use of openings in the walls of the work area as the path for air return. A perfo-
rated raised floor is from 1 to 4 ft (0.3 to 1.2 m) above the structural floor and forms a plenum underneath
the walking level for air return. Finally, perforations in the structural floor allows air flow directly to the base-
ment which is used as an air plenum.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 23

Fig. 6. Tool service corridor.

The air supplied to the cleanroom is usually a mixture of recirculated air and make-up air which compen-
sates for leakage and exhaust losses. Since the recirculated air is cleaner and closer to the temperature and
humidity requirements, a high ratio (80 to 95 percent) of recirculated-to-make-up air is provided.
The concept of laminar air flow is used in nearly all semiconductor cleanrooms. Laminar flow occurs when
air is made to flow in unidirectional layers when air flow velocities are maintained above 70 ft/min
(0.36 m/sec). As the air flows from the supply side (usually the ceiling) to the return side (either a perfo-
rated floor or sidewall vent), particulate matter is ‘‘washed’’ away in a shower of air.
The laminar flow cleanroom requires an air flow rate between 70 to 110 ft/min (0.36 to 0.56 m/sec) The aver-
age Class 100 room will operate at 90 ft/min (0.46 m/sec). If this room has a 9-ft high (2.7 m) ceiling, ten
air changes per minute or 600 per hour would occur.
The cleanroom is typically kept under a positive pressure in the range of about 0.15 in. W.G. (water gauge)
(0.04 kPa). This is done because if there is any air leakage, or if a door or other passage is opened, the
exchange of air will be from the inside to the outside. If outside air were to rush in, it would bring millions of
airborne contaminates with it.
In a vertical laminar flow (VLF) work station or hood (Fig. 7 and Figs. 1 through 4 of Data Sheet 7-7/17-12),
the air enters from above and moves vertically downward over the work area. These stations are used in recir-
culating applications, or where fumes are generated, and must be removed and exhausted. The use of a
VLF work station can reduce the size of the central air system and simultaneously provide a source of high
velocity, filtered air to the work area.
In the past, as more critical particulate control became necessary, the VLF hood approach had several draw-
backs. But this problem was solved by dividing the fabrication area into separate tunnels or bays. Today,
HEPA filters built into the ceilings serve the same purpose.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 24

Fig. 7. Various arrangements of a wet bench and associated fume exhaust ductwork.

High Efficiency Particular Air (HEPA) filters are built into an extended surface configuration by folding filter
media into pleats and housing it in a frame. The media is a matte of glass fibers held together with binder
resins which filter over 99 percent of the particles attempting to pass through it. The combustibility of the HEPA
and ULPA (ultrahigh particulate air) filter modules varies depending on the media, binder resins, and frame
materials.
The pressure drop through a HEPA filter is typically 0.5 in. W.G. After extended use, depending upon the clean-
liness of the air passing through the filter and the amount of prefiltration used, the pressure drop will increase
to 1 in. W.G. and beyond and must be replaced. In the event of a cleanroom fire, if the HEPA filters are
exposed to fire products of combustion, the filters might experience an unacceptable pressure drop and need
to be replaced.

3.3 Processing Tools


This section gives an overview of some of the tools and support equipment used in the semiconductor
fabrication process.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 25

3.3.1 Chemical Mechanical Polish


Chemical Mechanical Polish (CMP) or planarization, was developed as a method of dealing with the varia-
tion in wafer surface topography which results from the increasing numbers of layers. These topography
effects, combined with requirements of the sub-half micron geometries of modern integrated circuit produc-
tion, make it more difficult to achieve resolution of small image sizes due to light reflection and the thinning
of resist layers over steps on the wafer surface. CMP levels the entire surface of the wafer using polishing pads
and slurries. Typically the CMP tool consists of two main units:
1. The polishing machine, including the platen, vacuum system wafer carrier, rotor motor and alignment
system.
2. The post CMP cleaning system used to scrub the wafer removing traces of chemicals and surface
contamination.
Typically the polishing machine is constructed of, or clad in, polypropylene and has a clear plastic enclo-
sure around the operating surface creating shielded combustible spaces. During CMP, the back side of the
wafer is attached to a plastic film held inside a rotating carrier. The front side of the wafer is then pressed
against a textured pad soaked with an abrasive slurry. The simultaneous chemical and mechanical actions
are applied to the surface of the wafer, removing 0.2 micron to 2 micron of material.
With increasing use of tool integration, environmental enclosures and other techniques, it is likely that CMP
will become part of the cleanroom.

3.3.2 Alcohol Vapor Dryers


An alcohol vapor dryer is a drying system for semiconductor products. It operates by replacing de-ionized
water with isopropyl alcohol (IPA) which is then evaporated, leaving the product clean, dry, and static-free.
Because the equipment uses IPA vapors instead of liquid, this drying process is very suited to products hav-
ing deep, narrow surface features.
A typical vapor dryer is constructed as two cabinets. The first cabinet contains the drying tank, along with
the necessary operator interfaces and hardware control features. The second cabinet encloses a canister
containing IPA and additional hardware controls. The two cabinets are connected by electrical cables and
plumbing lines.

3.3.3 Reprocessors
Reprocessors are on-site distillation systems which enable wafer fabrication facilities to recycle various liquid
chemicals. Sulfuric and hydrofluoric acid are the main acids recycled due to their ultra high purity require-
ments, large consumption volumes, high cost and disposal challenge. Isopropyl alcohol is also being recycled
for these reasons.
The reprocessors consist of self-contained distillation systems which concentrate and purify the used liquid
before returning it to the distribution system.

3.3.4 Mini-Environment Enclosures


Advances in semiconductor technology have enabled the industry to reach extremely complex levels in the
various manufacturing processes. These advances require higher and higher levels of cleanliness. Rather
than raising the level of cleanliness of the entire fabrication area, many times the individual process is iso-
lated in a mini-environment enclosure where the cleanliness of the particular processing step is increased,
but not the surrounding area. Sometimes referred to as ‘‘wafer isolation technology,’’ this process sepa-
rates the process (tool) from cleanroom personnel and the remainder of the cleanroom environment. This
technology helps increase yields, reduce defect density, reduce start-up time of processes, positively impact
costs associated with manufacturing, and increase efficiency.
Some mini-environment enclosures will have their own dedicated air supply, but most merely utilize clean-
room air. Some may actually operate at different pressures (slightly higher) than the surrounding clean-
room. They will usually have their own HEPA or ULPA filter systems to improve the air quality to the tool
they are associated with.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 26

Mini-environment enclosures will most always create shielded areas which are not adequately protected by
cleanroom sprinkler systems. The protection guidelines developed by FM Global for specific tools and equip-
ment address the need to provide internal protection to mitigate the shielding problem when a mini-
environment enclosure is provided around that tool.

3.3.5 Vacuum Pumps


Data Sheet 7-7 recommends that a foreline trap be installed to prevent oil backstreaming and damage to
the furnace. Backstreaming can occur as a furnace tube or reactor chamber cools and pressure drops in the
tube relative to pressure at the vacuum pump. This can allow oil mist from the vacuum pump to be drawn
back into the furnace or reactor if the mechanical pump vanes can turn backwards.
Mechanical pumps typically have a ratchet or other mechanism that prevents the pump from turning back-
wards. If, during a pump maintenance teardown and rebuild, the ratchet is inadvertently omitted during reas-
sembly, then the pump could turn backwards, causing a potential problem. Diffusion pumps are also
susceptible to backstreaming but are not usually directly connected to a furnace tube. The use of a dry type
vacuum pump or one lubricated with an inert fluid eliminates the fire exposure.

3.4 Bulk Chemical Distribution


A chemical delivery system filters, blends and transports chemicals through tubing/piping to the point-of-
use where controllers regulate the flow rate and pressure of delivery. This system includes the means to pres-
surize a chemical and control its distribution throughout the fab. It consists of a source of chemical, or storage
vessel, a chemical delivery module and a piping system.
Fluoropolymer tubing and components are typically used for acidic and caustic chemicals.
The most common method of liquid transfer is by local distribution systems which are generally located in
the service chases close to the equipment they serve. A liquid source supply and piping connected directly
to the process equipment is provided. Liquids are manually delivered to these systems.
Bulk chemical distribution systems represent a greater exposure than local distribution systems due to long
runs of pressurized distribution piping which results in a much larger liquid release scenario.

3.5 Liquid Damage Exposures


The most common causes of liquid release from distribution systems include items such as component fail-
ure on distribution piping, corrosion of fittings, and physical damage caused by personnel. When a liquid
is released from its distribution system, contaminants can be quickly picked up by the cleanroom air handling
system and distributed throughout the cleanroom space served by the air handling system. Depending on
the type and amount of the liquid released, contamination of the cleanroom space, in-process product and
process equipment is probable.
The spread of airborne contaminants can be minimized following a spill by shutdown of the recirculating air
system, operation of the smoke/contaminant control system and proper action by the Emergency
Organization.

Table 7. Common Nonflammable Semiconductor Process Liquids


Hydrochloric Acid (HCL)
Sulfuric Acid (H2SO4)
Nitric Acid (HNO2)
Hydrofluoric Acid (HF)
Phosphoric Acid (H3PO4)
Acetic Acid (CH3COOH)
Chromic Phosphoric Acid (CrPO4)
Hydrogen Peroxide (H2O2)
Sodium Hydroxide (NaOH)
Potassium Hydroxide (KOH)
Ammonium Hydroxide (NH4OH)

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 27

Table 8. Possible Water Damage Sources


Chill Water Supply/Return
Heating Water Supply/Return
Humidification Systems
Deionized Water Systems
Sanitary Hot/Cold Sprinkler
Rain/Roof Drains
Condensation
Equipment Cooling
Scrubber System

3.6 Protection Against Theft


Theft of small high value electronic components has been a major problem in the last three years. Products
such as processors are often in short supply when they are introduced and sold at a premium, making them
an attractive product to thieves who can easily resell them on the black market. Memory products fell into
a similar category but a significant price drop in 1996 reduced thefts considerably.
The activities which curtail thefts are as follows:
• Access control to sites and buildings
• Reliable security systems
• Employee controls
• Adequate stock control systems
• Access control to sites and buildings
Site fences and barriers with manned or unmanned vehicle control points located at entrances and exits
can be a significant deterrent to thieves. Where the layout of a site does not permit such controls, the use
of barriers and speed control devices near loading docks can prevent ram raids.
All visitors and employees should wear badges and visitors should be escorted at all times.
Reliable security systems
A security system is only as good as the people who respond to it and also depends on the original goal
of the system designer. As a result, security systems should be under regular review to ensure that the chang-
ing needs of the site are being met. Closed circuit television (CCTV) with remote or duplicate recording of
images, intruder alarms using a mixture of detection devices and airport style detection arches can all be used
to tailor a security system to a particular site’s needs.
Employee controls
Theft by, or aided by, employees has been a significant problem in many countries. Carefully selecting new
employees can help, but physical measures including enforcing access control restrictions, the wearing of
employee badges, locating employee lockers away from production areas and providing employees with
pocketless uniforms can all play a part in reducing theft by employees.
Adequate stock control systems
Related to employee control is stock control. High value shipments should receive special attention upon
their arrival and dispatch, ideally with witnessed checking of the contents of packages.
Without these vital checks it is difficult, if not impossible to trace the source of losses downstream. A typi-
cal system of incoming inspection includes immediate shipment counting by store personnel watched by secu-
rity. The parts would then be stored in a secure area and inventory records updated. Whenever parts are
then issued to the next stage, the issuer and receiver should check and sign for the parts to ensure that there
is an effective audit trail.
Special Exposures
In 1995 and early 1996, the U.K. experienced a new phenomenon—theft of memory chips and processors
from personal computers located in offices. Because new memory was in short supply and the black mar-
ket for stolen memory chips was significant, office buildings with a PC on every desk became prime tar-
gets for thieves. Computers were often severely damaged by thieves who ripped open units to get at the

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 28

easily removable memory boards. Losses of $15,000 were a daily occurrence in many cities; some of the larg-
est losses reached in excess of $500,000.

3.7 Uninterruptible Power Supply Overview


Uninterruptible power is accomplished in several ways:
a. Static switches. A static switch is a solid state device which can have 2 to 3 input sources but just
one output. The inputs are typically odd and even feeders, but some switches now come with a third source,
which can be an emergency generator. In this case, the emergency generator should be set to automati-
cally start upon loss of one switch source.
The output off these static switches would then go to a bus or breaker panel which supplies fab produc-
tion tools. If either of the input sources to the switch were lost, the switch digitally transfers to the alter-
nate input source in less than 1/4 cycle. This is well below the switching time threshold that would affect
production tools (5 to 10 cycles).
This arrangement is best suited for plants with very reliable utility sources from alternate substations.
This arrangement is very good at protecting the production tools from shutting down due to minor power
interruptions (lasting a few seconds), or total loss of power from one utility source. This arrangement does
not protect the facility at all if both utility power sources were lost, unless the three source static switches
are provided, and these are typically used only on critical systems.
b. Diesel no-break systems. This method employs an AC motor driving an AC generator. The generator
in turn supplies the critical loads. There is also a diesel engine connected onto this unit which per-
forms as the primary driver if utility power were lost. The method used to bridge the time to start the engine
and bring it up to load carrying condition is with the use of internally stored kinetic energy, so the out-
put of the generator never changes. These systems provide clean continuous, extended power outage pro-
tection which enables the plant to avoid surges and sags in their critical power load. If this type of system
is used, some of the redundancy in the electrical system to this machine can be eliminated, because this
machine can function for long periods of time.
c. Static UPS Modules, with or without emergency generator sets. This is a typical standard UPS sys-
tem where an AC source is rectified to DC to power a battery bank. This DC battery power is then inverted
back to an AC source and feeds the fab tools. During normal operations, utility power is fed to the power
supply. If this power is lost, the batteries provide power for the system. The two major drawbacks are
the large physical size of the battery banks needed to supply the power demand of the fab tools and the
limiting time the batteries can supply power. This arrangement provides good protection against power
blips, but battery capacity usually limits the duration of the outage to less than an hour.
d. Hybrid rotary UPS modules, with or without emergency generator sets.

4.0 OTHER APPLICABLE CODES AND STANDARDS

4.1 United States Building Code


Building and fire codes are the two basic model codes adopted and enforced by government officials desig-
nated as the ‘‘authority having jurisdiction’’ (AHJ) in the U.S. Three different codes are used in three areas
of the U.S.:
• Northeast: the Building Officials and Code Administrators (BOCA), the National Building Code (NBC) and
National Fire Prevention Code
• Southeast: the Southern Building Code Congress International (SBCCI), the Standard Building Code, and
the Standard Fire Code
• West of the Mississippi River: generally the Uniform Building Code (UBC) and Uniform Fire Code (UFC)
of the International Congress of Building Officials (ICBO).
The electrical code in use in the U.S. is the National Electric Code (NEC), reprinted as NFPA 70, aug-
mented by NFPA 79, Electrical Standard for Industrial Machinery. Process tools and equipment are typi-
cally reviewed for compliance with NFPA 70, Section 90-7, Examination of Equipment for Safety. Review for
compliance with these codes is typically done by third party firms or by company personnel specifically hired
to validate equipment compliance with company standards.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 29

Typically, these codes have separate chapters or articles that specifically govern the semiconductor industry.
Examples: in the UBC a special occupancy class H-6 has been designated for the semiconductor indus-
try; in the UFC, Article 51 and in the BOCA Fire Code, Chapter 15 address the semiconductor industry
specifically.
The scope statements of these model codes illustrate the difference between them and FM Global stan-
dards. The codes are designed to provide minimum standards primarily focused on safeguarding the life and
health of people while FM Global provides property damage loss prevention and control engineering.
A fire incident which resulted in no loss of life or injuries might be acceptable in a semiconductor fabricat-
ing facility from a code standpoint. However, that same incident, which might only have opened two auto-
matic sprinkler heads, could be a 20 or 30 million-dollar loss and totally unacceptable from a property
conservation viewpoint.
A brief look at the code requirements versus FM Global standards for the semiconductor industry illustrates
the following differences.
1. It is only since the 1994 UFC that a 0.010 in. (0.254 mm) RFO is required. FM Global has recom-
mended the RFO, automated cylinder valves, and high ventilation airflows since 1990.
2. Fire codes require automatic sprinklers in combustible ducts 10 in. (0.25 m) diameter and larger with an
exception for 12 ft (3.6 m) of ductwork below the ceiling. FM Global recommends (1) using ducts not need-
ing sprinkler protection; (2) not using ducts of certain materials such as PVC or polypropylene and sprin-
kler protection in all combustible ducts.
3. Smoke/contaminant control systems are not addressed as required in the model codes but are recom-
mended for all semiconductor fabricating areas by FM Global.
4. Automatic sprinkler protection for the horizontal surface of a wet bench plus within 2 ft (0.6 m) of the duct
connection to the bench is the requirement of the UFC. FM Global recommends protection for the surface
and all interior compartments with a detector-activated suppression system to limit the loss to far less than
would be expected in a wet bench fire controlled by sprinkler protection alone.

4.2 NFPA 318


The NFPA 318 Standard for the Protection of Cleanrooms was first published in 1992. It is currently in its
third revision process with reissue in mid 2000. The requirements of NFPA 318 are far more comprehen-
sive and detailed than the model codes. Generally, Data Sheet 7-7/17-12 and NFPA 318 are very similar,
because property damage loss prevention is a recognized component of the Purpose section of NFPA 318.
One important difference between NFPA 318 and Data Sheet 7-7/17-12 is the NFPA 318 requirements are not
retroactive. Therefore, there are no protection requirements for existing combustible wet benches, for
example. Since its first issue, NFPA 318 has instead contained a basic requirement that tools be of noncom-
bustible construction. A broad exception, loophole allowing plastics where corrosive process chemicals exist
will hopefully be closed in the next edition by restricting plastic materials to those which meet the
FM Approvals Cleanroom Materials Flammability Test Protocol.

4.3 SEMI S-2


Semiconductor Equipment and Materials International (SEMI) is an organization dedicated to providing guide-
lines to the manufacturers of equipment used by the semiconductor industry. The SEMI S-2 standard is a
broad tool safety guideline which includes a section number 19 on Fire Protection.
Section 19 gives no definitive guidance on fire protection and references the UL-94 test as a basis for deter-
mining the need for fire protection in a tool. SEMI S-2 is now being revised and section 19 will be replaced
by a new SEMI safety standard, SEMI 2697 Document.
This new Tool Fire Protection Standard includes a flow chart for use in determining appropriate tool fire
protection. Parameters to consider include tool construction materials, chemicals used in the tool, safety
controls on the tool, and the need for detection and/or suppression systems. UL 94 compliance is no longer
the focal point of the tool fire protection considerations.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 30

4.4 International Codes


There are no specific codes in use which control the semiconductor industry outside of the U.S. Each country
has its own Building Regulations (U.K.) or similar, but these do not specifically address semiconductor loss
prevention or firesafety issues. As a result, it is possible that although the UBC and UFC may be used in
the initial designs for many fabs, the standards may be compromised during construction to the lower local
codes.
In all cases, the international building codes are lifesafety based, typically geared to enable safe evacua-
tion of occupants in a short period of time. In the U.K., the use of British standards for installations such as
electrical installations (BS7671, 16th Edition Wiring Regulations) is not mandatory, however a designer apply-
ing them is ‘‘deemed to satisfy’’ the building regulations if they are used. If an alternative standard is used, the
designer has to justify that deviation showing that it is at least as good as the equivalent British standard.
1. Sprinkler installations in the United Kingdom are often specified to meet LPC (Loss Prevention Council
‘‘Rules for Automatic Sprinkler Installations’’ adopted by British Standards Institution as BS 5305 Part 2). How-
ever the use of FM Global standards for sprinkler installation is usually acceptable. These are also often
the basis of sprinkler codes in commonwealth countries.
2. European CE Union Mark
On 1 January 1995 a set of European Union (EU) directives became effective. They require a wide range
of products to have the ‘‘CE’’ mark. The intent is to ensure that products entering the EU countries comply
with general safety and environmental regulations.
Each product with a CE mark will have a technical file which contains the following information:
a. Overall drawing of the equipment together with control circuit drawings.
b. Full detailed documentation to show that the equipment conforms to Environmental Health and Safety
(EHS) requirements, which include:
i. Principles of safety integration.
ii. Safety and reliability of control systems.
iii. Control devices.
iv. Protection against other hazards.
v. Fire and explosion.
vi. Emissions of dust, gases etc. (maintenance, indicators, warning devices, warning or residual risks)
c. A list of the EHS regulations, standards and other technical specifications used in the design of the
equipment.
d. Methods adopted to eliminate hazards.
e. Relevant technical reports or certificates issued by a competent body or laboratory.
f. A list of the harmonized standards and a technical report giving results of tests.
g. Equipment operation instructions.
3. There are three main directives:
a. The Machinery Directive,
b. The Electrical Directive
c. The Low Voltage Directive (73/23/EEC): Mandatory from 1/1/97
Conformance Requirements (Article 2)
i. Equipment must be ‘‘safe’’
ii. Equipment must be constructed in accordance with good engineering practice.
iii. Equipment must conform with the principle elements of the safety objective (annex I)

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 31

Conformance can be demonstrated by one of the following methods.


i. Conformance with a harmonized standard.
ii. Conformance with an International standard.
iii. Conformance with a National standard.
iv. Conformance with ‘‘Low Voltage’’ directive Article 2
The SEMI organization is attempting to incorporate the CE Marking directives into the Semi revision to the
S2-93 standard and a CE Marking Interest Group has been formed.
The following is an overview of fire protection code issues in countries located in the Asia-Pacific Region:
Taiwan—Fire protection standard is per local code (somewhat like Japanese standard). For some newer fabs,
the plant fire protection systems are designed to NFPA and SEMI S-2. This is also acceptable to the local
authorities.
Hong Kong—fire protection design has to meet LPC (U.K.) standard. If fire protection design is to NFPA/
FM Global standard, there should generally be no problem, because the NFPA/FM Global standard is usu-
ally more conservative. Singapore—fire protection design is to local code (which is actually the Australian
code and quite similar to LPC (U.K.) standard). If fire protection is to NFPA/FM Global standard, there should
generally be no problem, because the NFPA/FM Global standard is usually more conservative.
Malaysia—there is no local standard though LPC (U.K.) standard is more widely used. Using the NFPA/
FM Global standard should not pose a problem.
Philippines—there is a local code which closely follows the NFPA standard. Using the FM Global standard
should not pose a problem.
Thailand—there is no local code. The use of NFPA/FM Global standard should not pose a problem.

4.5 ISO International Cleanroom Standards


Following steps towards international harmonization of cleanroom standards in 1990, with the establish-
ment of an European Technical Committee (CEN/TC 243 Cleanroom Technology), the International Organi-
zation for Standardization Technical Committee was set up in 1993 (ISO/TC 209 Cleanrooms and associated
controlled environments). As a result, working groups were set up to deal with seven specific areas that will
be developed into globally recognized standards. The CEN and ISO technical committees have harmonized
their list of work items and as a result of the way in which the ISO and CEN organizations work, all standards
developed by ISO/TC 209 will be submitted to the parallel approval procedure, resulting in the standards being
eventually adopted by the national standards collections of the 18 CEN member countries at the same time
as being accepted by the 85 voting members of the ISO.
The working groups are outlined below:
WG 1: Air Cleanliness classification (UK)
WG 2: Biocontamination and biocontamination control (France)
WG 3: Metrology and testing methods (Japan)
WG 4: Design and Construction (Germany)
WG 5: Cleanroom Operation (USA)
WG 6: Terms, definitions and units (Switzerland)
WG 7: Mini-environments and isolators (USA)
(The country in brackets holds the convenership of the working group.)
The ISO standards and their date of approval for issue as a draft international standard are as follows:
ISO 14644-1 Air Cleanliness classification 03-96
ISO 14644-2 Specification for testing cleanrooms to prove continued compliance with ISO 14644-1 04-97
ISO 14644-3 Metrology and test methods 04-98
ISO 14644-4 Design, Construction and start-up of cleanroom facilities 10-97
ISO 14644-5 Operation of cleanroom systems 09-98
ISO 14644-6 Isolators and transfer devices 04-99
ISO 14702 Terms, definitions and units 04-99

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 32

To overcome the interim period until the standards are approved, CEN has decided to publish an European
Prestandard ENV 1631 Cleanroom Technology — Design construction and operation of cleanrooms and
clean airdevices, which will be automatically withdrawn once the ISO standards 14644-4 and -5 are approved
and published.

4.5.1 ISO 14644-1 Air Cleanliness Classification


The new ISO air cleanliness classification is based on the following formula:
Cn = 10N (0.1/D)2.08
where Cn = max. number of particles per m3 meter with a diameter equal to or larger than the particles under
consideration, rounded to the nearest whole number, using no more than three significant digits
N = The ISO classification number
D = The diameter of the particles under consideration
0.1 = A constant with dimensions in microns.
The following tables show the relationship between the ISO classification and the particle size and a com-
parison between the ISO classification and the commonly used US 209E classification system.
While it is likely that the previous form to describe a cleanroom classification, i.e., Class 1, Class 10, etc.,
will continue to used for some time, increasingly cleanrooms will be specified using the international terms,
defined in ISO14644-1.

Table 9. Selected airborne particulate cleanroom classes for cleanrooms and cleanzones defined by ISO 14644-1
Maximum concentration limits (particles/m3 of air) for particles equal to and larger than the considered
sizes (in nanometers) shown below (concentration limits are calculated in accordance with formula 1)
ISO Classification Number (N) 100 nm 200 nm 300 nm 500 nm 1000 nm 5000 nm
ISO Class 1 10 2
ISO Class 2 100 24 10 4
ISO Class 3 1000 237 102 35 8
ISO Class 4 10000 2370 1020 352 83
ISO Class 5 100000 23700 10200 3520 832 29
ISO Class 6 1000000 237000 102000 35200 8320 293
ISO Class 7 352000 83200 2930
ISO Class 8 3520000 832000 29300
ISO Class 9 35200000 8320000 293000

Table 10. Comparison between different Cleanroom Class Standards


Particles per m3 US 209E EEC France Germany UK Japan JIS ISO EN
greater than or
equal to 0.5 US 209E (Imperial cGMP AFNOR VDI 2083 BS 5295 B 9920 14644-1
microns (1992) Equivalent) 1989 1989 1990 1989 1989 1998 DIS/FDIS
1
3.5 0 2 2
10 M1.0
35 M1.5 1 1 3 3
100 M2.0
353 M2.5 10 2 4 4
1,000 M3.0
3,530 M3.5 100 A+B 4,000 3 E or F 5 5
10,000 M4.0
35,300 M4.5 1,000 4 G or H 6 6
100,000 M5.0
353,000 M5.5 10,000 C 400,000 5 J 7 7
1,000,000 M6.0
3,530,000 M6.5 100,000 D 4,000,000 6 K 8 8
10,000,000 M7.0
100,000,000 M7.5 1,000,000 40,000,000 L 9 9

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 33

5.0 SEMICONDUCTOR TERMINOLOGY


Alignment—The positioning of a mask or reticle with respect to the wafer.
Anneal—A high temperature processing step (usually the last one), designed to repair defects in the crystal
structure of the wafer.
APCVD—Atmospheric Pressure Chemical Vapor Deposition
Art work—The large CAD (computer aided design) drawings of the various layers of a circuit. It is used to
make the master mask for each layer.
Ashing—Process in which photoresist is removed from the wafer by heating it and turning it to ash.
Automated Cylinder Valve (ACV)—The best form of ESOV, this is a normally closed, pneumatically held
open valve assembly that replaces the manual valve on top of the gas cylinder. This allows for automatic open-
ing and closing of the valve by an automated gas cabinet purge program, and automatic shut down of the
gas cylinder in response to detection of a gas leak, for example.
Bipolar—Literally, having two poles. A transistor consisting of a base, emitter and collector. It has both N
and P type carriers present.
Boat—A vessel, usually made of quartz or silicon used for holding wafers during high temperature furnace
processing.
Bonding—The connecting of a wire from the package leads to the pads (bonding pads) of the circuit.
BPSG—Borophosphosilicate glass.
Bubbler—An apparatus in which a carrier gas is transmitted through a heated liquid causing portions of
the liquid to be transported with the gas.
Buffered Oxide Etch—A mix of hydrogen fluoride (HF) and ammonium fluoride (NH4F) used to promote
oxide etching at a slow, controlled rate.
Burn-in—Term given to heat soaking components to determine operational reliability at elevated
temperatures or temperature fluctuations.
Carrier—A vessel made of plastic used for holding wafers (typically 25) during nonprocessing times.
CGA—Compressed Gas Association.
Chip (Die)—The sliver of silicon on which the tiny devices of the integrated circuit are formed.
Contact Aligner—An aligner tool which clamps the wafer and mask into a tight contact before the resist
exposure cycle.
Cleanroom Environment—An enclosed area where the amount and size of particulate matter in air,
temperature, humidity, and pressure are closely controlled.
Cluster tool—Several process stations or tools served by one loading-unloading chamber and wafer-
transport system.
CMP—Chemical Mechanical Polishing—A wafer flattening and polishing process that combines chemical
removal with mechanical buffing. Used for polishing/flattening wafers after crystal growing and wafer
planarization during the wafer fabrication process.
CMOS—Complementary metal oxide semiconductor.
Coke Cans–A noncombustible, pressurized canister containing photoresist or developer which feeds the
spinner in the masking operation. These canisters are usually pressurized with nitrogen and are equipped
with metallic or plastic tubes connected to the spinners.
Contact Aligner—An aligner tool that clamps the wafer and mask into a tight contact before the resist
exposure cycle.
CVD—Chemical Vapor Deposition.
Deep Ultraviolet (DUV)—A light wavelength often used to expose photoresist which has the advantage of
an ability to produce smaller image widths.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 34

Deionized Water—Water which has had all charged particles removed. Commonly called ‘‘D.I. water,’’ it is
used throughout the entire manufacturing process.
Deposition—The depositing or laying down of various chemicals on wafers, generally done in a high
temperature furnace or evaporator.
Developer—Chemical used to remove areas defined in the masking and exposure step of wafer fabrication.
DIE—See Chip.
Diffusion—The fab process whereby high temperature furnaces are used to drive dopant material into the
wafer.
DIP (Dual In-line Package)—A rectangular circuit package, with leads coming out of the long sides and
bent down to fit onto a socket.
Dopant—Chemical ‘‘impurities’’ used to regulate the current flow in integrated circuit junctions. Usually put
on the wafer via furnaces, implants, or CVD systems and later diffused further into the wafer by heat.
Dry Etch—Generally used in place of the acid bathing technique to produce more uniform pattern definition,
particularly with smaller geometries, as is necessary for VLSI processing.
Emergency Shut Off Valve (ESOV)—A valve located in the gas piping train, usually close to the cylinder
CGA fitting, which can be closed either automatically or manually in response to a gas emergency. For
example, automatic closure might result from a signal from the gas monitoring system; manual closure can be
done from the gas cabinet EMO button.
EPI—(i.e. epitaxy)—A special process for growing additional layers of silicon on wafers. Usually either silane
or silicon tetrachloride is used at a high temperature in a reactor.
Evaporation—The vaporizing of a material such as aluminum or gold and subsequent depositing of the
vapor on the wafers.
Expose—In masking after proper alignment of mask to wafer, light is allowed to activate or polymerize the
photoresist on the wafer much like exposing film in a camera.
FAB—Fabrication i.e., wafer fabrication area is called FAB or ‘‘Wafer fab.’’
FET (Field-Effect Transistor)—A unipolar transistor consisting of a source, gate and drain, whose action
depends on the flow of majority carriers past the gate from source to drain.
Fume Scrubber—Equipment used to clean the fumes which evolve during the wafer fabrication process. Usu-
ally, the exhaust hood, furnace exhaust, etc. in the wafer fabrication process are vented to a fume scrubber.
The scrubber is required by the environmental authorities.
Furnace—Generally refers to high temperature cylinders used for depositions and diffusions in wafer fab.
Crystal growing machines are also referred to as furnaces.
Glassification—Process used to place an environmentally safe protective coating on the completed semi-
conductor. This hard surface is the final process before the individual chips are cut from the silicon wafers and
tested for operational capabilities.
Hard Bake—Generally, in masking, the baking of wafers at about 150°C (302°F) to remove moisture and
provide for better adhesion of the photoresist after develop and prior to etch.
HPM—Hazardous Production Material—A solid, liquid or gas that has a degree of hazard ranking in health,
flammability or reactivity of 3 or 4 as ranked by Uniform Fire Code Standard 79-3 and which is used directly
in research, laboratory or production processes which have, as their end product, materials which are not
hazardous.
HEPA Filter—High Efficiency Particulate Air Filter capable of filtering out 99.97 percent of particles greater
than 0.3 microns in diameter.
Integrated Circuit (IC)—An array of transistors and other components on a piece of semiconductor material.
Ion Implantation—A process of introducing charged dopant ions into the semiconductor. These ions, usu-
ally boron or phosphorus, are accelerated and driven into the surface of the semiconductor wafer.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 35

Junction—The interface at which the conductivity type of a circuit material changes from P-type to N-type
or vice versa.
Jungle—Generally, the entire collection of tubes, lines, bubblers, injectors, etc. found at the back end of
a diffusion or deposition system. Also called a source cabinet.
Laminar Flow Hoods—The hoods used in cleanrooms where it is important to maintain laminar airflow
characteristics throughout a given space.
Lapping—Process of removing the saw marks on the raw wafers once they are sliced from the polysilicon
ingot.
LPCVD—Low Pressure Chemical Vapor Deposition (Furnace).
Manufacturing Electron Beam Exposure System (MEBES)—An electron beam lithography machine used
to make masks. The circuit design is programmed into the MEBES machine. The MEBES reduces the cir-
cuit pattern size to that of a chip and transfers this design onto the master mask. This design is duplicated
many times to form a grid on the master mask. This mask provides the basic pattern which is exposed onto
the silicon wafer.
Mask—A glass plate covered with an array of patterns used on the photo-masking process. Each pattern con-
sists of opaque and clear areas that respectively prevent or allow light to pass. The mask surface may be
emulsion, chrome, iron oxide, silicon, or a number or other materials.
Masking—The fab process whereby each layer of the process is photographically transposed onto the wafer.
MBE—Molecular Beam Epitaxy. An evaporation rather than a CVD process. An electron beam is directed
into the center of the target material, which it heats to the liquid state. In this state, atoms evaporated out
of the material, exit the cell through an opening, and deposit on the wafers. MBE has found production use
in the fabrication of special microwave devices and for compound semiconductors such as gallium arsenide.
Micron—Equal to one millionth of a meter. Used in measuring thickness of material or line width at various
steps of processing.
Microprocessors—A single semiconductor device which carries out the processing tasks in a digital system.
Its development made the microcomputer possible. A microprocessor incorporates both the arithmetic logic
unit and the control unit—components previously requiring separate dedicated devices.
Mil—Equal to 0.001 in. (0.03 mm). Used in measuring thickness and width at various steps of processing.
Mini-environment—An environment that maintains wafer cleanliness by storing, transporting, and loading or
unloading wafers in small, clean enclosures.
MOCVD—Metal Organic Chemical Vapor Deposition, one of the latest options for CVD of compound mate-
rials. A Group III halide (gallium) is formed in the hot zone and the gallium arsenide compound is depos-
ited in the cold zone. In the metallorganic process for gallium arsenide, trimethylgallium is metered into the
reaction chamber along with arsine to form gallium arsenide.
MOS—Metal Oxide Semiconductor.
MOSFET—Metal Oxide Semiconductor Field Effect Transistor.
Nitride—(Si3N4) Short for silicon nitride, used to form an insulation layer on a circuit.
Optoelectronics—The technology which mixes solid state electronics and optics.
Organometallic Compounds—Organic compounds in which metal atoms have replaced one or more hydro-
gen atoms. The hazards vary, but most of the materials are flammable liquids or solids. Most are very reac-
tive and some will react with air or moisture at room temperature. Examples of some organometallic
compounds include trimethylaluminum, diethylzinc, and trimethylgallium.
Oxidation—The process which combines oxygen and heat with a silicon wafer in a furnace to produce a
layer of silicon dioxide (‘‘oxide’’). Also done in a CVD process using silane.
Oxide—Silicon dioxide. Grown on a wafer, oxide is used as a deterrent to dopant penetration in deposition
and diffusion processes. Also used as part of the structure of the circuit or as a final protective layer (glass).

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 36

Package—The finished integrated circuit unit which consists of the chip fastened to a frame inside a ceramic
or plastic case whose metal leads can be inserted into printed circuit boards. Can also refer to the case only.
Passivation—Usually a silicon dioxide or silicon nitride layer put over an existing layer of the wafer to protect
against moisture, contamination and abrasion.
Pass-through—An enclosure installed in a wall with a door on each side that allows chemicals, production
materials, equipment and parts to be transferred from one side of the wall to the other.
Pattern Generator—Optical or E-Beam tool used to make the mask plates or reticles.
PECVD—Plasma Enhanced Chemical Vapor Deposition.
Pellicle—A protective film covering on a frame adhered to a mask plate which keeps contaminants off the
mask surface.
Photoresist—A light-sensitive, frequently flammable liquid which is sprayed on the wafer, exposed and devel-
oped to make the circuit image during the wafer fabrication process. Similar to film in an ordinary camera
in its sensitivity to light.
Plasma—A high energy gas made up of ionized particles.
Plasma Etcher—A machine in which a high energy RF field excites the gas molecules in the chamber to
a high level causing a reaction in which unprotected sections of an oxide layer are removed.
Plasma Etching—An etching process which accomplishes results similar to the chemical etch mechanism
reaction using an etching gas instead of a wet chemical.
Polishing—The process whereby a mirror-like finish is put on raw wafers after slicing.
Poly—Polycrystalline silicon. Usually grown in layers epitaxially to form part of the circuit structure. Also the
raw material for the melt for crystal growth.
Projection/Promixity—Masking exposure methods in which the wafer and mask plate have no contact,
thus lengthening the mask usage due to less contamination of the mask plate.
Puller—Furnace for growing silicon crystals. Refers to the process of pulling the crystal out of the molten
silicon.
Pyrophoric—A substance which ignites spontaneously in air below 130°F (54°C).
RCA Clean—A multiple-step process to clean wafers before oxidation; named after RCA, the company that
developed the procedure. Chemicals used include mixtures of water, hydrogen peroxide and ammonium
hydroxide (step 1) or hydrochloric acid (step 2).
Reactive Ion Etching (RIE)—An etching process that combines plasma and ion beam removal of the sur-
face layer. The etchant gas enters the reaction chamber and is ionized. The individual molecules acceler-
ate to the wafer surface. At the surface, the top layer removal is achieved by the physical and chemical
removal of the material.
Reticle—A miniature reproduction of one layer of a circuit drawing on an emulsion or chrome covered glass
plate. Typically 5 x or 10 x in size it will be reduced and reproduced many times on a mask blank.
RTO (Rapid Thermal Oxidation)—An RTP technology used to grow very thin (usually less than 100
Angstorms) MOS gate oxide layers.
RTP (Rapid Thermal Processing)—A process usually using high intensity tungsten halogen lamps to heat
and cool a wafer in seconds.
Seed—In crystal growing a piece of single-crystal structured silicon which upon contact with the melt (molten
poly-silicon) starts a crystal or ingot to be grown which has same single-crystal structure as that of the seed.
SEM—Scanning Electron Microscope. Used in examining portions of circuit by allowing the viewer to see
an image as much as 15,000 times its actual size.
Semiconductor—An element such as silicon or germanium intermediate in electrical conductivity between
the conductors and the insulators.
Slicing—The cutting of a silicon crystal in a saw in order to make wafers on which ICs will be made.

©2003 Factory Mutual Insurance Company. All rights reserved.


REFERENCE DOCUMENT
7-7R
SEMICONDUCTOR FABRICATION FACILITIES 17-12R
Page 37

Soft Baking—A heating process used to evaporate a portion of the solvents in resist. The term ‘‘soft’’
describes the still soft resist after baking. The solvents are evaporated to achieve two results: to avoid reten-
tion of the solvent in the resist film and to increase the surface adhesion of the resist to the wafer.
Spin—The operation and development in a spinner machine where photoresist or developer is applied to
a wafer and rotated at high speed so that a uniform film coating results.
Sputter—Method of depositing various types of thin metal films on wafers by ion bombardment of a target.
Standard Mechanical Interface (SMIF)—A system that allows the mating of portable clean wafer boxes
(called pods) to the clean microenvironment loading stations of process tools.
Step & Repeat—In making mask plates a step-and-repeat camera (‘‘stepper’’) is used to transform the pat-
tern image of the reticle onto the surface of the plate. In some fab processing, a stepper is used to project
the reticle’s image directly onto the resist spun wafer and does not employ a mask plate (also called DSW for
Direct-Step-On-The-Wafer).
Strip—In fab, refers to the stripping of the photoresist after etch usually in a wet chemical bath or in a plasma
chamber.
Substrate—The silicon wafer.
Tape Automatic Bonding (TAB)—Chip-to-package connection process in which the package leads are
formed on a flexible tape and all the lead fingers are bonded to the chip in one action.
Tetraethylorthosilicate (TEOS)—A chemical source for the deposition of silicon dioxide. A combustible liquid
(flash point 125°F [52°C]) replacement for silane.
Tool—Any device, storage container, work station, or process machine used in a cleanroom.
Torr—In vacuum systems the remaining pressure inside the chamber after pumpdown is a measure of
atmospheric pressure expressed in Torr (Torr = 1/760 of atmospheric pressure).
Transition Piece—That portion of a work station exhaust plenum attached to the rear of a work station.
This portion of the plenum is connected to the fume exhaust branch duct.
ULPA Filter—Ultrahigh-Efficiency Particulate Air Filter, capable of filtering out 99.999 percent of particles
greater than 0.3 microns in diameter.
VLF—Vertical Laminar Flow.
VLSI (Very Large Scale Integration)—A chip manufacturing process which enables a high density of
transistors and circuits typically 100,000 to 1,000,000 devices per chip.
Wafer—The silicon disc sliced from a crystal on which integrated circuits are manufactured. Also called a
substrate or starting material.
Wafer Box—A plastic box with a hinged opening top used to hold carriers with wafers during non-processing
times.
Wafer Fab—The area in which circuits are manufactured, usually consisting of masking, diffusion, deposition,
and other operations which will transform a polished wafer into hundreds of chips.
Wafer Sort—The step after wafer fabrication during which the electrical parameters of integrated circuits
are tested for functionality. Probes contact the pads of the circuit to conduct the test leading to the name
‘‘prober’’ for the equipment that performs electrical tests on each die site of completed wafers.
Wire Bonding—An assembly step in which thin gold or aluminum wires are attached between the die bonding
pads and the lead connections in the package.
Yield—The amount of good products compared to the total possible good products, i.e., on a wafer which
has 100 possible chips and 65 are found to be good, then the yield = 65 percent. Or if a ‘‘run’’ of wafers has
50 wafers to start and 41 wafers are finished, the run has a yield of 82 percent.

©2003 Factory Mutual Insurance Company. All rights reserved.


7-7R REFERENCE DOCUMENT

17-12R SEMICONDUCTOR FABRICATION FACILITIES


Page 38

6.0 BIBLIOGRAPHY
The following codes, standards and publications provide additional information:
BOCA National Fire Prevention Code, 1993, Chapter 15: Hazardous Production Material Facilities
National Fire Protection Association (NFPA)
• Standard No. 45-1986 Edition: Fire Protection for Laboratories Using Chemicals
• Standard No. 90A-1985 Edition: Installation of Air Conditioning and Ventilation Systems
• Standard No. 91-1983 Edition: Blower and Exhaust Systems for Dust, Stock and Vapor Removal or
Conveying
• Standard No. 318-1998: Protection of Cleanrooms
Pletsch, William, Integrated Circuits—Making the Miracle Chip, California: Pletsch & Associates, 1985
Semiconductor Equipment and Materials International, SEMI S2-93, Safety Guidelines for Semiconductor
Manufacturing Equipment.
Uniform Fire Code, 1997
• Article 51—Semiconductor Fabrication Facilities
• Article 74—Compressed Gases
• Article 80—Hazardous Materials
Van Zant, Peter. Microchip Fabrication: A Practical Guide to Semiconductor Processing, Third Edition, New
York: McGraw-Hill Publishing Company, 1997

©2003 Factory Mutual Insurance Company. All rights reserved.

You might also like