Professional Documents
Culture Documents
Nitro-SoC D A T A S H E E T
w w w. m e nto r. co m
2
w w w. m e nto r. co m
3
Low-Power Support
Highest Performance Nitro-SoC provides seamless concurrent optimization
Leading-edge designs need to be analyzed and for both power and timing, covering all operating
optimized for various design contexts and timing modes and process corners through all stages of the
variations due to device/interconnect scaling. Using flow.
approximations, like constraint merging or adding
margins, results in loss of accuracy that can impact Nitro-SoC supports the Unified Power Format (UPF),
design performance and time-to- market. including the ability to describe design intent through
power state definition tables. It completely automates
Nitro-SoC’s patented and tape-out proven MCMM multi-supply-voltage design flow with automatic power
architecture drives the router and CycleOpt grid routing for different voltage supplies, support for
optimization engines to automatically achieve the best dynamic voltage and frequency scaling (DVFS) to
timing and SI across all modes and corners handle varying supply voltages and clock frequencies,
concurrently. Additional technologies such as 3D and auto placement and routing of special cells such as
opportunistic shielding of clock nets, CTS-based timing level shifters, isolation cells, and MTCMOS switches.
optimization, and advanced trap placement help push
the performance envelope. Designers can avoid
w w w. m e nto r. co m
4
Key Features
• TAT Reduction
–– New core engines for speed and QoR
–– Distributed and multithreaded analysis and
optimization
–– Signoff physical verification during implementation
with Calibre InRoute
–– Minimal ECO iterations through MCMM
optimization
–– Signoff quality built-in timing and extraction
engines
–– Industry’s first multi-threaded timing engine
• Design Planning
Nitro-SoC engines honor power domain boundaries and perform
concurrent optimization for the different supplies.
–– Design planning including flat, hierarchical, and
pseudo flat floorplanning
Nitro-SoC uses sign-off power analysis to drive power –– Support for both channel-less and channel- based
optimization, delivering up to 10% additional power flows
reduction. It employs unique power optimization and –– Timing and congestion-aware pin placement and
routing transforms with objective costing to make feed-through insertion
trade-offs between dynamic power, leakage power,
timing, and area. Nitro-SoC also provides concurrent –– Data flow graph driven automatic macro
multi-Vt optimization, power gating, retention flop placement
synthesis, and power-aware buffering and sizing. –– Timing-driven placement engine for optimal QoR
Power-aware CTS minimizes power in the clock network
with smart clock gate placement, slew shaping, clock –– Powerful and efficient GUI
gate cloning/de-cloning, register clumping and • Advanced Nodes
concurrent MCMM optimization, which ensures a
–– Comprehensive multi-patterning and FinFET
balanced clock tree with optimal power.
support
–– Native coloring, verification and conflict resolution
Premier Chip Assembly Flow –– DRC, double/multi-patterning, and DFM rule
Nitro-SoC allows designers to read in all the partitions support for all leading foundries
of a large, complex design without any timing or –– Intelligent conflict double/multi-patterning
physical abstractions, and optimizes the top level with a resolution engine
complete view of the whole chip. This improves chip
closure by enabling accurate top-level interface logic –– Pattern matching and recommended rules support
optimization with fewer iterations and engineering –– Variation-aware timing and SI-driven routing
resources.
• Low Power
The key technologies for top-level optimization in flat –– UPF 2.0 (IEEE 1801) based multi-voltage flow
or hierarchical flows include—interface logic models –– Power state table (PST) based advanced buffering
(ILMs) with physical information (PILMs) for more
accurate timing, SI, and DRC; hierarchical timing policy –– Support for level shifters, isolation cells, and
that reduces memory requirements and runtime; retention registers
accurate physical SDCs, timing- and congestion-driven –– Distributed and ring style multi-threshold
pin assignment; and port sliding and layer promotion (MTCMOS) switch cell insertion
for improved timing. Nitro-SoC also offers synchronized –– Hierarchical UPF support
optimization to automatically update replicated blocks
at the top level.
w w w. m e nto r. co m
–– Power-aware CTS featuring cloning, restructuring, • Highest Capacity
and slew shaping
–– Compact database and flexible architecture
–– Concurrent power and timing optimization for all
–– Ability to handle 100+ million instance designs
corner/mode/power scenarios
–– Flexible abstraction capabilities including SI-ILM,
• High Performance
HTP, and black boxes
–– True and concurrent MCMM optimization during –– Unique synchronized optimization at the top-level
all design steps design
–– Best-in-class MCMM-based CTS –– Advanced memory reduction technologies
–– On-chip variation (OCV) driven CTS and
opportunistic 3D clock shielding
–– Resistance-aware concurrent cell and wire
optimization
–– Extremely fast and accurate, on-the-fly parasitic
extraction
–– Sign-off quality timing analysis and optimization
• Area Reduction
–– Unified global router based congestion modeling
–– Channel-less floorplanning flow
–– Intelligent white space management
–– Precision double-patterning fixing for minimal
perturbation
–– Dynamic area recovery throughout the flow
–– Proprietary density management