You are on page 1of 5

Place and Route System for Advanced Nodes Digital IC Design

Nitro-SoC D A T A S H E E T

FEATURES AND BENEFITS:


• Fastest time-to-market with a
throughput of 1.5 million
instances in 24 hours
• Comprehensive multi-patterning
and FinFET aware place and route
system for advanced technology
nodes
• Optimal performance, power, and
area with true and concurrent
CycleOpt throughout the flow
• Flexible architecture to support
complex multi-VDD design styles
and sign-off driven power
Nitro-SoC is a comprehensive netlist-to-GDSII physical design implementation platform optimization
targeted for advanced nodes. • Compact and scalable database
Solving Advanced Node Design Challenges provides highest capacity in the
industry to effectively handle
The Nitro-SoC ™ netlist-to-GDSII system comprehensively addresses the growing design sizes
time-to-market, performance, capacity, power, area and variability
challenges encountered at the leading-edge process nodes. This advanced • Reduced design planning
physical design implementation tool has best-in-class physical iterations with data flow graph
implementation engines—including design planning, placement, physical driven Automatic Macro
synthesis, clock tree synthesis, routing, power optimization and Placement
manufacturability. • Best area and highest utilization
with proprietary area recovery
Nitro-SoC is architected to handle the complex multi-patterning and FinFET technologies throughout the flow
requirements at advanced process technologies. It provides the highest • Highest performance with
capacity in the industry with a very compact and scalable database to patented multi-corner, multi-
handle designs that contain hundreds of millions of instances. The low- mode (MCMM) analysis and
power suite enables both leakage and dynamic power reduction optimization architecture
throughout the flow and power-aware clock tree synthesis. Native
integration with the Mentor Graphics Calibre engines minimizes physical • Integrated Calibre signoff to
verification ECOs and enables signoff checks during implementation. achieve manufacturing closure
during physical design
implementation
Maximum Design Throughput & Fastest Runtimes
Nitro-SoC employs extensive parallelization technologies, brand new core
engines, and new flow scripts that utilize core engine concurrency to
achieve significant speedup and reduce design cycle time. The database
and all the core engines, including the floorplanner, placer, clock tree
synthesis (CTS), optimizer, and router, have been completely re-written and
overhauled. The entire design flow uses parallelization technologies
including multi-threading, distributed processing and fine-grained multi-
processing. Nitro-SoC delivers significantly faster runtimes with a
throughput of up to 1.5 million instances in 24 hours without any QoR
degradation.

w w w. m e nto r. co m
2

Advanced Node Support Signoff Physical Verification


Nitro-SoC features a scalable and flexible routing Nitro-SoC uses an “open router” architecture that allows
architecture that integrates the global, track, and it to natively invoke all the signoff Calibre® engines
detailed routing engines best suited to handle multi- during implementation through the Calibre InRoute
patterning (MP) and FinFET requirements of advanced advanced design and manufacturing closure platform.
nodes. The router supports all the complex DRC, DFM, Invoking Calibreengines directly within the Nitro-SoC
and MP rules for all the leading foundries for both litho- environment provides automated, intelligent
etch-litho-etch (LELE) and self-aligned double prevention of DRC/DFM/MP issues, true signoff analysis,
patterning (SADP) process flows. and automatic fixing of DRC/DFM/MP violations during
the physical design flow. Calibre InRoute ensures that
all manufacturability issues are addressed without
introducing new ones, and without degrading the
performance of the design. The open router
architecture also eliminates the need for any serial data
transfers, as all the engines use the same hosted data
model.

Nitro-SoC multi-patterning engine includes coloring, verification, and


conflict resolution.
Nitro-SoC integrates Calibre sign-off engines.

The Nitro-SoC router is able to address the increased


number and complexity of DRC rules with fast runtimes Flexible Design Planning
and no loss in accuracy by performing a comprehensive
and detailed analysis of the design rules and To tackle the challenges of growing design sizes, such
minimizing the number of operations that the router as runtime and tool capacity, Nitro-SoC provides
has to perform. The unified global router-based multiple design planning options including flat,
congestion modeling ensures excellent correlation in all hierarchical, and pseudo-flat floorplanning
stages of the design flow. The routing engine technologies. Hierarchical floorplanning supports both
incorporates a signoff-quality, variation-aware timing channel-based and channel- less (abutted) flows and
and optimization engine for signal integrity and timing- offers unique technologies such as timing- and
driven routing. congestion-aware pin placement and feed-through
insertion. The data flow graph driven automatic macro
placement (AMP) for both top and block level ensures
The router is highly flexible, with support for both the best QoR by facilitating design space exploration
gridded and non-gridded models and the use of a with multiple parallel recipes, which significantly
universal connectivity model for a friendly ECO flow. It reduces the number of macro placement iterations.
also supports sophisticated non-default rules (NDRs)
and all the DFM requirements for advanced nodes
including recommended rules, pattern matching, Nitro-SoC offers highest tool capacity, compact
redundant vias, wire spreading/widening, and timing- memory footprint, and an intuitive easy-to-use GUI.
aware smart metal and via fill.

w w w. m e nto r. co m
3

unpredictability during ECOs, eliminate performance-


killing pessimism, and deliver faster tapeouts by
considering all the scenarios concurrently from
floorplanning to GDSII-out.

Clock Tree Synthesis


Variations in resistance can cause large deviations in
clock skew across different process corners. Nitro-SoC
addresses this problem by using advanced MCMM clock
tree synthesis technology to optimize skews across all
process corners concurrently. This results in robust, low-
power clock trees that are resilient to process variations
and show significant improvement in the number of
buffers, total area, timing, and power. Advanced
The automatic macro placement output from Nitro-SoC. on-chip variation (OCV)-driven CTS helps significantly
improve timing, both setup and hold, and speed up
Dynamic Area Recovery design convergence time.
At advanced nodes, the introduction of multi-
patterning and the FinFET transistor have a significant
impact on design utilization and area due to complex Productivity and Big Data
spacing requirements. It is critical to accurately predict Nitro-SoC has an ultra-compact database that provides
intra-cell congestion and recover area throughout the the industry’s highest capacity and smallest memory
design flow. footprint, allowing it to handle 100 million + instance
designs. Patented physical synthesis technology gives
Nitro-SoC reduces area with technologies such as the highly optimized results for multi-million gate flat
unified global router-based congestion modeling, designs.
intelligent white space management, smart multi-
pattern violation fixing for nested and interdependent Fully-multithreaded and distributed analysis and
cycles, Fin grid-aware placement, Vt- and implant-aware optimization engines and a fully-parallelized timing and
spacing. optimization engine reduce run times by efficiently
using the latest hardware resources. The combination
Other area reduction technologies used throughout the of these features allows designers to achieve design
flow include proprietary density management, dynamic closure on large complex designs in a fraction of the
area recovery, and congestion mitigation through CTS time required for existing design flows.
and post-CTS optimization.

Low-Power Support
Highest Performance Nitro-SoC provides seamless concurrent optimization
Leading-edge designs need to be analyzed and for both power and timing, covering all operating
optimized for various design contexts and timing modes and process corners through all stages of the
variations due to device/interconnect scaling. Using flow.
approximations, like constraint merging or adding
margins, results in loss of accuracy that can impact Nitro-SoC supports the Unified Power Format (UPF),
design performance and time-to- market. including the ability to describe design intent through
power state definition tables. It completely automates
Nitro-SoC’s patented and tape-out proven MCMM multi-supply-voltage design flow with automatic power
architecture drives the router and CycleOpt grid routing for different voltage supplies, support for
optimization engines to automatically achieve the best dynamic voltage and frequency scaling (DVFS) to
timing and SI across all modes and corners handle varying supply voltages and clock frequencies,
concurrently. Additional technologies such as 3D and auto placement and routing of special cells such as
opportunistic shielding of clock nets, CTS-based timing level shifters, isolation cells, and MTCMOS switches.
optimization, and advanced trap placement help push
the performance envelope. Designers can avoid

w w w. m e nto r. co m
4

Key Features
• TAT Reduction
–– New core engines for speed and QoR
–– Distributed and multithreaded analysis and
optimization
–– Signoff physical verification during implementation
with Calibre InRoute
–– Minimal ECO iterations through MCMM
optimization
–– Signoff quality built-in timing and extraction
engines
–– Industry’s first multi-threaded timing engine
• Design Planning
Nitro-SoC engines honor power domain boundaries and perform
concurrent optimization for the different supplies.
–– Design planning including flat, hierarchical, and
pseudo flat floorplanning
Nitro-SoC uses sign-off power analysis to drive power –– Support for both channel-less and channel- based
optimization, delivering up to 10% additional power flows
reduction. It employs unique power optimization and –– Timing and congestion-aware pin placement and
routing transforms with objective costing to make feed-through insertion
trade-offs between dynamic power, leakage power,
timing, and area. Nitro-SoC also provides concurrent –– Data flow graph driven automatic macro
multi-Vt optimization, power gating, retention flop placement
synthesis, and power-aware buffering and sizing. –– Timing-driven placement engine for optimal QoR
Power-aware CTS minimizes power in the clock network
with smart clock gate placement, slew shaping, clock –– Powerful and efficient GUI
gate cloning/de-cloning, register clumping and • Advanced Nodes
concurrent MCMM optimization, which ensures a
–– Comprehensive multi-patterning and FinFET
balanced clock tree with optimal power.
support
–– Native coloring, verification and conflict resolution
Premier Chip Assembly Flow –– DRC, double/multi-patterning, and DFM rule
Nitro-SoC allows designers to read in all the partitions support for all leading foundries
of a large, complex design without any timing or –– Intelligent conflict double/multi-patterning
physical abstractions, and optimizes the top level with a resolution engine
complete view of the whole chip. This improves chip
closure by enabling accurate top-level interface logic –– Pattern matching and recommended rules support
optimization with fewer iterations and engineering –– Variation-aware timing and SI-driven routing
resources.
• Low Power
The key technologies for top-level optimization in flat –– UPF 2.0 (IEEE 1801) based multi-voltage flow
or hierarchical flows include—interface logic models –– Power state table (PST) based advanced buffering
(ILMs) with physical information (PILMs) for more
accurate timing, SI, and DRC; hierarchical timing policy –– Support for level shifters, isolation cells, and
that reduces memory requirements and runtime; retention registers
accurate physical SDCs, timing- and congestion-driven –– Distributed and ring style multi-threshold
pin assignment; and port sliding and layer promotion (MTCMOS) switch cell insertion
for improved timing. Nitro-SoC also offers synchronized –– Hierarchical UPF support
optimization to automatically update replicated blocks
at the top level.

w w w. m e nto r. co m
–– Power-aware CTS featuring cloning, restructuring, • Highest Capacity
and slew shaping
–– Compact database and flexible architecture
–– Concurrent power and timing optimization for all
–– Ability to handle 100+ million instance designs
corner/mode/power scenarios
–– Flexible abstraction capabilities including SI-ILM,
• High Performance
HTP, and black boxes
–– True and concurrent MCMM optimization during –– Unique synchronized optimization at the top-level
all design steps design
–– Best-in-class MCMM-based CTS –– Advanced memory reduction technologies
–– On-chip variation (OCV) driven CTS and
opportunistic 3D clock shielding
–– Resistance-aware concurrent cell and wire
optimization
–– Extremely fast and accurate, on-the-fly parasitic
extraction
–– Sign-off quality timing analysis and optimization
• Area Reduction
–– Unified global router based congestion modeling
–– Channel-less floorplanning flow
–– Intelligent white space management
–– Precision double-patterning fixing for minimal
perturbation
–– Dynamic area recovery throughout the flow
–– Proprietary density management

For the latest product information, call us or visit: w w w . m e n t o r . c o m


©2016 Mentor Graphics Corporation, all rights reserved. This document contains information that is proprietary to Mentor Graphics Corporation and may
be duplicated in whole or in part by the original recipient for internal business purposes only, provided that this entire notice appears in all copies.
In accepting this document, the recipient agrees to make every reasonable effort to prevent unauthorized use of this information. All trademarks
mentioned in this document are the trademarks of their respective owners.

MGC 05-2016 1033630-w

You might also like